Tipos de Convertidores Programación y simulación en Matlab de un DAC y ADC Estado de Arte de los convertidores analogicos-digitales
Tipos de Convertidores Programación y simulación en Matlab de un DAC y ADC Estado de Arte de los convertidores analogicos-digitales
Descripción completa
Descripción completa
Descripción: Presentación de Convertidores y Cicloconvertidores Monofásicos y TGrifásicos, de Media Onda y Onda Completa, Ptincipios de Control de Fase y Control de Abrir y Cerrar
Descripción completa
Descripción completa
Documento sobre ciclo convertidoresDescripción completa
Descripción completa
Convertidor AC-DCDescripción completa
Descripción completa
Descripción: Mecatrónica Industrial
Descripción completa
Descripción: Lab 7 Convertidores 2016
Mecatrónica Industrial
Descripción completa
convertidores CD-CADescripción completa
Descripción completa
Electrónica de Potencia Inversores.Descripción completa
CONTROL DIGITAL alumno: Luis Ángel Alegría Jiménez Profesor: M.I. Jorge Alberto Acosta Alejandro
Convertidores A/D Un convertidor analógico / digital (ADC) toma el voltaje analógico de entrada y después de un cierto tiempo genera un código digital de salida, que representa la magnitud de esa entrada analógica. Este proceso, es más complejo que la conversión digital-analógica, dado que se deben tener en cuenta varias consideraciones respecto a la señal a convertir, como así también se requieren varias etapas de procesamiento para lograrlo.
Proceso de digitalización de una señal analógica
Muestreo
El muestreo es la conversión de una señal en tiempo continuo a una señal en tiempo discreto obtenida tomando muestras de la señal en tiempo continuo en instantes de tiempo discreto.
Cuantificación
la cuantificación se encarga de medir individualmente el nivel del voltaje o tensión de todas las muestras de amplitud continua obtenidas en el proceso de muestreo.
Codificación
En la codificación, a cada nivel de cuantificación se le asigna un código binario distinto, con lo cual ya tenemos la señal codificada y lista para ser transmitida.
Circuitos de muestreo y retención Estos circuitos son los encargados de tomar una muestra (durante un intervalo de tiempo) de la tensión analógica a convertir y el posterior mantenimiento del valor obtenido, durante el tiempo necesario para que se lleve a cabo la conversión A/D.
Convertidor A/D flash (paralelo) El convertidor flash o paralelo es un ADC de mayor velocidad disponible. Este convertidor consta de N comparadores a los cuales se le introducen dos señales simultaneas, una es la señal analógica ya muestreada y la otra una tensión de referencia distinta para cada comparador.
Etapas de conversión
Calculo de voltajes de referencia en cada comparador
Los conversores Flash consisten en 2^N-1 comparadores que se encargan de identificar el voltaje analógico de entrada, es decir la entrada es aplicada a comparadores que tienen como referencia n* resolución de voltaje, donde n es el numero comparador . Así si tenemos un conversor de 3 bits y si convertimos de 0-1V: comparadores (2^N)-1=(2^3)-1=7 comparadores Resolución de voltaje=(1-0)/(2^3)=0.125V Voltaje de referencia del comparador 1=n*resolución de voltaje=1*0.125=0.125V Voltaje de referencia del comparador 2=2*0.125=0.25V Voltaje de referencia del comparador 3=3*0.125=0.375V Voltaje de referencia del comparador 4=4*0.125=0.5V Voltaje de referencia del comparador 5=5*0.125=0.625V Voltaje de referencia del comparador 6=6*0.125=0.75V Voltaje de referencia del comparador 7=7*0.125=0.875V
Codificador de prioridad la codificación con prioridad consiste en que se da prioridad a la línea activa de mayor peso dentro del conjunto de líneas de entrada.
Funcionamiento del codificador
Codificadores de prioridad en el mercado
74147, 74148 MC10319 CD4532B
Texas Instruments Motorola Texas Instruments
Código en VHDL para el codificador library ieee; use ieee.std_logic_1164.all; entity CodConPrioridad8a3 is port (D: in std_logic_vector(7 downto 0); G: in std_logic; SALIDAS: out std_logic_vector(2 downto 0)); end CodConPrioridad8a3; architecture funcional of CodConPrioridad8a3 is begin SALIDAS <= “000” when G/=‘1’ else “000” when D(0) = ‘1’ else “001” when D(1) = ‘1’ else “010” when D(2) = ‘1’ else “011” when D(3) = ‘1’ else “100” when D(4) = ‘1’ else “101” when D(5) = ‘1’ else “110” when D(6) = ‘1’ else “111” when D(7) = ‘1’ else “000” end funcional;
Convertidor A/D flash (paralelo)
Ventajas - Sin componentes Capacitivos - Sin Contadores ni Relojes - Tiempo de Conversión extremadamente bajo
Desventajas - Electrónica más compleja cuando “n” crece - Mayor costo cuando “n” crece