IC Compi ler
IC Compiler II
Category
add_buffer_on_route
add_buffer_on_route
Closest match
add_cl add_clock_d o ck_driv river erss
create create_cl _clock_d o ck_dri river verss
a dd dd _d _d is tr tr ib ut ut ed ed _h _h os os ts ts add_drc_error_detail
s et et _h _h os os t_ t_ op op titi on on s create_drc_error_shapes create_drc_error_data crea create te_b _bou ound ndar ary_ y_ce cell lls create create_cl _clock_d o ck_dri river verss
IC Compiler II does not have -no_eco_route and -no_legalize IC Compiler II has -max_distance_to_route, -detect_layer, -snap_to_sites, -respect_gas_station, -respect_voltage_areas, -max_distance_for_incomplete_route Simila Similarr but but notexactl exactly same same Simpl Simplifi ified e d usage usage model model with with new new optio options ns All loads of input net are assigned to a single driver at the last level and supports clock gates as well C lo se ses t m at at ch ch N ot ot a pp pp li cca a bl bl e Closest match None of the options are supported; use the improved feature instead Clos Closes estt matc match h Not Not requ requir ired ed Simila Similarr but but notexactl exactly same same Simpl Simplifi ified e d usage usage model model with with new new optio options ns
create_drc_error_shapes create_drc_error_data n/a n/a add_port_state add_power_state
No equivalent command No equivalent command 1-1 Mapping 1-1 Mapping
add_ add_en end_ d_ca cap p add_m add_mul ultis tisour ource_ ce_dr drive ivers rs add_open_drc_error_detail add_pg_pin_to_db add_pg_pin_to_lib add_port_state add_power_state add_pst_state add_row add_row add_ add_ta tap_ p_ce cell ll_arr _ array ay
add_pst_state crea create te_t _tap ap_c _cel ells ls
add_to_collection add_ add_to to_r _rp_ p_gr grou oup p
add_to_collection add_ add_to to_r _rp_ p_gr grou oup p
adju adjust st_f _fp_ p_fl floo oorp rpla lan n
init initia iali lize_f z e_flo loor orpl plan an
adjust_fp_io_placement
set_signal_io_constraints; add_to_io_guide; add_to_io_ring
adjust_p adjust_prem remesh_ esh_connecti connection on
Comments
Closest match None of the options are supported; use the improved feature instead Not applicable Not applicable UPF command UPF command
1-1 Mapping UPF command No equival equivalent e nt command command Not support supported ed Si Simi mila larr but but not not exac exactl tly same same Supp Suppor orte ted d opti options o ns are are differ f feren ent: t: -master_cell_name is mapped to -lib_cell -left_boundary_extra_tap true is mapped to -left_boundary_extra_tap -no_tap_cell_under_layers is not supported -plan_group is not supported 1-1 Mapping Options match IC Compiler 1-1 Clos Closes estt matc match h Same Same comm comman and d name name but but differ f feren entt opti option ons: s: -leaf is mapped to -cells -hierarchy is mapped to -rp_group -keepout is mapped to -blockage -instance is not supported -type is not supported; default type is hard blockage -alignment is mapped to -override_alignment -free_placement is not supported Si Simi mila larr but but not not exac exactl tly same same Supp Suppor orte ted d opti options o ns are are differ f feren ent: t: -core_aspect_ratio is mapped to -core_side_ratio -core_width is not supported -core_height is not supported -number_rows is not supported -die_width is not supported -die_height is not supported -use_vertical_ro w is not supported -no_double_back is not supported -start_first_row is not supported -flip_first_row is not supported -left_io2core is not supported -right_io2core is not supported -bottom_io2core is not supported -top_io2core is not supported -min_pad_height is not supported -maintain_placement is mapped to -keep_macro_placement, -keep_std_cell_placement, -keep_io_placement, and -keep_block_placement -remove_filler_io is not supported -die_origin is not supported -sm_utilization is not supported -fc_periphery is not supported -fc_in_core is not supported Similar but not exactly same None of the options are supported; use the improved feature instead (set_signal_io_const raints, add_to_io_guide, add_to_io_ring) No equival equivalent e nt command command
Not requir required ed
a fftt er a lia s a lign_f p_ p_pins
a fftter a lia s a lign_pins
a lign_o bj bj ec ts ts
a lign_o bj ec ec ts ts
a l _a _a ct ct iv e_ e_ sc sc e en n ar ar io s a l _a _a o_ o_ ce ce l s all_boun all_bounds_of_ce ds_of_cell ll
g et et _s _s ce ce na na riri os os g et et _c _c e ell ls get_bou get_bounds nds -of_obje -of_objects cts cells
1 --1 1 Ma pp pping O pt pt ions ma tc tc hI CC om ompiler 1- 1 1 --1 1 Ma pp pping O pt pt ions ma tc tc hI CC om ompiler 1- 1 S imi lar but no tex ac ac tltly s am am e S up uppor te ted o pt ptio ns ns a re re diff er erent : -reference object is not supported -direction pin_direction option is not supported -fixed is not supported -change_layer_width is not supported -order_type order_type is not supported -align_with_child_hm_pins is not supported -propagate_single_pins is not supported S imi lar but no tex ac ac tltly s am am e - an anc ho hor o bj ec ec t is s up uppo rt rt ed ed -parent is supported -to value_point_rect is supported [-side alignment_side] (align side: Values: left, right, top, bottom, hcenter, vcenter, l, r, t, b, hc, vc, ch, cv) Changes are in align side (default is left): Values: left, right, hcenter, bottom, top, vcenter) -offset real is supported -resize is not supported -keep_area is not supported -ignore_fixed is not supported collection is supported C lo se ses t m at at ch ch g et et _s _s ce ce na na riri os os - ffii lt er er a ct ct iv e= e== tr tr ue ue C lo se ses t m at at ch ch g et et _c _c e ell ls - ph ph ys ys ic a all _c _c on on te te xt xt - ffii lt er er ( re re f_ f_ bl bl oc oc k. k. al al wa wa ys ys _o _o n) n) Similar l ar but not exactly exactly same No option o n mapping mapping
all_cell all_cells_in_bo s _in_bound und
get_cell get_cells -of_obje -of_objects cts bounds bounds
Similar l ar but not exactly exactly same No option o n mapping mapping
a l _c _c lo ck ck s
al _ _cc lo ck ck s
S im il ar ar b ut ut n ot ot e xa xa ct ct ly s am am e I nf nf as as tr tr uc uc tu tur e d if fe fe re re nc nc e d ue ue t o o pt pt io ns ns s uc uc h a s - mo mo de de
all_connected all_ all_co conn nnec ecti tivity v ity_f _fan anin in
all_connec ted all_ all_fa fani nin
1-1 Mapping Options match IC Compiler 1-1 Si Simi mila larr but but not not exac exactl tly same same all_ all_fa fani nin has has depe depend nden ency cy on timi timing n g whil while all_ all_co conn nnec ecti tivi vity ty_f _fan anin in is conn connec ecti tivi vity ty base based d
all_conn _ connect ectivi ivity_fan t y_fanout out
all_fano _ fanout ut
Simila Similarr but but notexactl exactly same same all_fano _ fanout ut has has depe depend nden ency cy on timin timing g whil while e all_conn _ connect ectivi ivity_fan t y_fanout outis conne connecti ctivity v ity based based
get_designs
No equival equivalent e nt command command Not planned No equival equivalent e nt command command Not planned Similar but not exac tltly same No option mapping
all_criti all_critical_cell c al_cells all_criti all_critical_pin c al_pinss all_designs all_dont_touch all_drc_ all_drc_viol violated_ne a ted_nets ts a l _f _f an an in
al _ _ff an an in
Similar but not exactly same all_dont_touch all_dont_touch -nets is mapped mapped to get_nets all_dont_touch -cells is mapped to get_cells No equival equivalent e nt command command Not support supported ed S im il ar ar b ut ut n ot ot e xa xa ct ct ly s am am e I nf nf as as tr tr uc uc tu tur e d if fe fe re re nc nc e d ue ue t o o pt pt io ns ns s uc uc h a s - e exx cl cl ud ude
all_ all_fa fano nout ut
all_ all_fa fano nout ut
Si Simi mila larr but but not not exac exactl tly same same Infa Infast stru ruct ctur ure e differ f feren ence ce due due to opti options o ns such such as -ste -step_ p_in into to_h _hie iera rarc rchy hy
all_fixed_placement
get_cells or get_ports -filter physical_status==fixed
Closest match
all_hig all_high_fa h_fanou noutt all_ideal_nets all_inputs a l _i _i so so la titi on on _c e ell ls a l _l _l e evv el el _s _s hi hi fftt er er s all_macro_c ells
get_cells or get_nets -hierarchical -filter dont_touch==true
get_nets -hierarchical -filter is_ideal==true all_inputs g et et _c _c e ell ls g et et _c _c e ell ls get_cells -physical_co ntext -filter design_type==macro
all_fixed_placement -cells is mapped to get_cells all_fixed_placement -ports is mapped to get_ports No equival equivalent e nt command command Not support supported ed Similar but but not exactly same No option mapping mapping 1-1 Mapping C lo se ses t m at at ch ch C lo se ses t m at at ch ch Similar but but not exactly same
Options match IC Compiler 1-1 g et et _c _c e ell ls - ph ph ys ys ic a all _c _c on on te te xt xt - ffii lt er er ( is _i _i so so la titi on on ) g et et _c _c e ell ls - ph ph ys ys ic a all _c _c on on te te xt xt - ffii lt er er ( is _l _l ev ev el el _s _s hi hi ftft er er ) No option mapping mapping
IC Compi ler
IC Compiler II
Category
a l _m _m tc tc mo mo s_ s_ ce ce l s all_obje all_objects_ cts_in_ in_boun boundin ding_b g_box ox
g et et _c _c e ell ls get_obje get_objects_ cts_by_l by_locati o cation on
all_outputs all_physical_only _cells
all_outputs get_cells -physical_co ntext -filter is_physical_only==true get_nets -hierarchical -filter net_type==power || net_type==ground get_ports -physical_cont ext -filter port_type==power || port_type==ground all_ all_re regi gister s terss
C lo se ses t m at at ch ch g et et _c _c e ell ls - ph ph ys ys ic a all _c _c on on te te xt xt - ffii lt er er ( is _p _po we we r_ r_ sw sw it ch ch) Similar l ar but not exactly exactly same -cells l s is mapped mapped to get_obje get_objects_ cts_by_l by_locati o cation o n -classes -classes cell -nets is mapped to get_objects_by_location -classes net -phys_cells and -flat are not supported -coordinates is mapped to get_objects_by_location -within objects argument is not supported; use -filter with the name attribute 1-1 Mapping Options match IC Compiler 1-1 Similar but not exactly same -coordinates, -coordinates, -lib_cells, and -cell_name are not supported; use filter_collection filter_collection with the appropriate appropriate attribute
all_physical_only _nets
all_physical_only _ports
all_ all_re regi gister s terss all_rp_groups
Comments
Similar but but not exactly same No option mapping mapping
Similar but but not exactly same No option mapping mapping
Si Simi mila larr but but not not exac exactl tly same same Infa Infast stru ruct ctur ure e differ f feren ence ce due due to opti options o ns such such as -asy -async nc_p _pin inss
a l_r p_ p_hier ar arc hi hic a alls
get_rp_group_objects -hierarchical rp_group get _r _rp_gro up ups
S imi lar but no tex ac ac tltly s am am e Use –of_objects
option
all_rp_inclusions
get_rp_groups
Similar but not exactly same
all_rp_instantiatio ns all_rp_references all_scenarios all_size_only_cells all_spare_cells
all_scenarios get_cells -hierarchica l -filter size_only==true get_cells -hierarchica l -filter is_spare_cell==true
all_thre all_threesta estate te all_tieo all_tieoff_c ff_cell ells allocate_ allocate_fp_budg fp_budgets ets
compute_ compute_bud budget get_con _constra straints ints
analyze_design_vio lations a na na ly ze ze _ _ff p_ p_r ai ai l
analyze_design_viol ations a na na ly ze ze _ _rr ai ai l
analyze_librar y analyze_logi y ze_logic_con c _connectivit nectivityy
explore explore_log _logic_h ic_hier ierarchy archy
analyz analyze_ e_mv_ mv_de desig sign n
report_mv ort_mv_p _path ath
analyze_rail y ze_rail anal analyz yze_ e_su subc bcir ircu cuit it
anal analyz yze_ e_su subc bcir ircu cuit it
a pp pp en en d append_to_collection a pp pply apply_fast_pba_a nalysis apropos archive_d v e_design esign
a pp pp en end append_to_collection a pp pply
a rr rra y assign_flip_chip_nets associate_mv_cells a ss ss oc oc ia te te _ _ss up up pl pl y_ y_ se se t attributes? balance_ a nce_in inte ter_c r_clo lock_ ck_de delay lay
a rr rra y
apropos write_l write_lib_packa i b_package ge
associate_mv_cells a ss ss oc oc ia te te _ _ss up up pl pl y_ y_ se se t get_switching_activity balance_ a nce_clo clock_ ck_gro group upss
b in ar ar y br ea ea k c alc ulate_caa_based_yield2db ca ass e ca att ch ch cd c ha ha n change_ change_conn connecti ection on change_fp_soft_macro_to_black_b _soft_macro_to_black_box ox chan change ge_l _link i nk
b in ar ar y brea k n/a ca ass e ca att ch ch cd c ha ha n
c ha ha ng ng e_ e_ ma ma cr cr o_ o_ vi vi ew ew
c ha ha ng ng e_ e_ vi vi ew ew
Similar but but not exactly same More options options
Use –of_objects option
No equivalent command No equivalent command 1-1 Mapping Options match IC Compiler 1-1 Similar but but not exactly same No option mapping mapping Similar but but not exactly same No option mapping mapping No equival equivalent e nt command command Not support supported ed No equival equivalent e nt command command Not support supported ed Similar l ar but not exactly exactly same Noneof the options o ns are support supported; ed; use the improve improved d feature feature instead instead 1-1 Mapping S im il ar ar b ut ut n ot ot e xa xa ct ct ly s am am e S up up po po rt rt ed ed o pt pt io ns ns a re re d if fe fe re re nt nt : -voltage_supply is not supported -pad_masters is mapped to -pad_references -read_pad_instance_file is mapped to -pad_references -read_pad_master_file is mapped to -pad_references -use_pins_as_pads is not supported -top_level_only is not supported -create_virtual_rails is not supported -ignore_blockages is not supported -ignore_conn_view_layers is not supported -read_power_compiler_file is mapped to -read_power_file -read_prime_power_file is mapped to -read_power_file -read_default_power_file is mapped to -read_power_file -output_directory_string is not supported -analyze_power is not supported No equivalent command Similar l ar but not exactly exactly same Noneof the options o ns are support supported; ed; use the improve improved d feature feature instead instead Simila Similarr but but notexactl exactly same same Simil Similar ar usecase, case, but but comman command d usage usage is diffe differen rent (path (path-ba -based sedinste instead ad of desig designn-bas based ed)) -verbose is not applicable -always_on is not applicable; always-on treatment is different -from and -to are not applicable; path is automatically calculated from -pin or -net No equival equivalent e nt command command Not applica applicable ble Si Simi mila larr but but not not exac exactl tly same same Si Simp mpli lified f iedusag usage e mode modell 1 -1 -1 M ap ap pi pi ng ng 1-1 Mapping 1 --1 1 Ma pp pping No equivalent command 1-1 Mapping Closest Closest match
O pt pt io ns ns m at at ch ch I C C om om pi pi le r 1 -1 -1 Options match IC Compiler 1-1 O pt pt ions ma tc tc hI CC om ompiler 1- 1 Options match IC Compiler 1-1 The options o ns do not matchexactly exactly due to the usage usage differe differences nces.. The followin o wing options o ns havesimilar l ar usage: usage: -source is mapped to -library -design is mapped to -blocks -archive is mapped to output_file_name -exclude_ref_lib is mapped to -exclude_ref_libs Note: -library and -blocks are optional in IC Compiler II; if not specified, the current library or block is used O pt pt ions ma tc tc hI CC om ompiler 1- 1
1 --1 1 Ma pp pping No equivalent command 1-1 Mapping Options match IC Compiler 1-1 1 -1 -1 M ap ap pi pi ng ng No equivalent command Simila Similarr but but notexactl exactly same same Does Does not not have have any option optionss andthes these e are not not requ requir ired ed
c ha ha ng nge_na me mes
c ha ha ng nge_na me mes
c hange_selection c ha ha ng nge_v ia _ _m ma st st er er
change_selection s et _v _v ia _ _d def
chang change_ e_wor workin king_ g_de desi sign gn
set_w set_work orkin ing_ g_de desi sign gn
O pt pt io ns ns m at at ch ch I C C om om pi pi le r 1 -1 -1 O pt pt ions ma tc tc hI CC om ompiler 1- 1 Not applicable O pt pt ions ma tc tc hI CC om ompiler 1- 1 O pt pt ions ma tc tc hI CC om ompiler 1- 1 O pt pt ions ma tc tc hI CC om ompiler 1- 1 O pt pt ions ma tc tc hI CC om ompiler 1- 1 Not applica applicable ble Automatically defined defined by the tool -vie -view w is not not supp suppor orte ted; d; use use chan change ge_v _vie iew w in IC Comp Compil iler e r II -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option C lo se ses t m at at ch ch - qu qu ie to pt pt io n i sn ot ot s up up po rt rt ed ed i nI C C om om pi pi le r I I The supported view names are different in IC Compiler and IC Compiler II S imi lar but no tex ac ac tltly s am am e New in K -2 -2 01 01 5. 5. 06 06 --SS P2 P2 -names_file, -restore, and -skip_inactive_constraints are not supported yet 1-1 Mapping Options match IC Compiler 1-1 S imi lar but no tex ac ac tltly s am am e New m ap apping in K -2 -2 01 01 5. 5. 06 06 -via_master is mapped to -via_def via argument is mapped to -vias Simila Similarr but but notexactl exactly same same -reado -readonl nlyy and and -discard s card arenot not supp support orted ed
chang change_ e_wor workin king_ g_de desi sign gn_s _stac tackk
set_w set_work orkin ing_ g_de desi sign gn_s _stac tackk
Simila Similarr but but notexactl exactly same same No option optionmappi mapping ng
character ize c he he cckk _b _b lo ck ck _a _a bs bs tr tr ac ac titi on on
c he he cckk _h _h ie r_ r_ de de sisi gn gn
No equivalent command 1 -1 -1 M ap ap pi pi ng ng
check_clock_tree check_clock_tree
chan change ge_l _link i nk
1 -1 -1 M ap ap pi pi ng ng 1 --1 1 Ma pp pping No equivalent command 1 --1 1 Ma pp pping 1 --1 1 Ma pp pping 1 --1 1 Ma pp pping 1 --1 1 Ma pp pping No equival equivalent e nt command command No equivalent ent command Si Simi mila larr but but not not exac exactl tly same same
I C C om om pi pi le rI I v er er si si on on i sb et et te te r;r; i t w or or ks ks f or or bo th th a bs bs tr tr ac ac t a nd nd d es es ig nv ie wi ns ns ta ta nc nc e ess Supported Supportedfrom K-2015.06-SP3
check_clock_trees check_clock_trees
Similar but not exactly same Does not support-solution_templat -solution_template e and -solution_for -solution_for options
check_database check_database check_design check_er check_error ror check_ check_fp_ fp_bu budg dget_ et_re resu sult lt
report_b ort_bud udge gett
No equivalent ent command Will be supported supported in a future release No equivalent command No equival equivalent e nt command command Not applica applicable ble Simila Similarr but but notexactl exactly same same None None of theoptio options ns aresupp support orted ed;; usethe the impro improved vedfeatu feature re inst instead ead
check_fp check_fp_pi _pin_alig n _alignmen nment
check_pi check_pin_place n _placement ment
Similar l ar but not exactly exactly same Noneof the options o ns are support supported; ed; use the improve improved d feature feature instead instead
IC Compiler
IC Compiler II
Category
check_fp_pin _assignment
check_pin _placement
Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
c hec k_f p_ra il
a na ly ze_ra il
Cl os es t ma tc h
check_fp_tim ing_environment check_freeze_sili con check_interface_optimization_setup check_isolation_cells
check_mv_design
No equivale nt command No equivale nt command No equivalent command Closest match
check_legality check_level_ shifters
check_legality check_mv_design
1-1 Mapping Closest match
check_library
report_lib
check_mv_design includes levelshif ter checking among other checks Options are covered by default check_mv_design behavior Similar but not exactly same New feature in IC Compiler II and focus on physical properties
check_license
check_license
Similar but not exactly same IC CompilerII accepts only one feature at a time, while IC Compiler accepts multiple features
check_mpc
report_constraints
Similar but notexactly same None of theoptions aresupported; usethe improvedfeature instead
check_mv_design
check_mv_design
Closest match
check_desig n check_consistency_settings
To be implemented - No target yet No equivale nt command Not supported Closest match Different usage model and most options are dif ferent Simil ar but not exactly same IC Compile r II commandprovides better alignment with PrimeTime
check_noise
Comments
- ri ng is not requir ed; c hec ks fo r a l -floating_segment is not required for checking floating segments; use verify_pg_nets -power_switch_connection is not required; default check included in analyze_rail Not required Not applicable Will be supported in a future release; transparent interface optimization functionality does not exist yet in IC Compiler II. check_mv_design includes isolationcheckin g among other checks Options are covered by default check_mv_design behavior
Report formatting and some checks are dif ferent -verbose is not supported -output is not supported -max_messages is the same The other options are covered by the default check_mv_design Not implemented yet
check_physical_constraints check_physical_design check_primetime_IC Compiler_consistency_settings check_rail
analyze_rail
Similar but not exactly same None of the options are supported; use the improved feature instead
check_reserved_placement_area
report_placement*
Similar but notexactly same None of theoptions aresupported; usethe improvedfeature instead
check_route check_routeability c hec k_r p_gr oups
n/a n/a r epo rt_r p_gr oups
No equivalent command Not applicable No equivalent command Not applicable S imi lar but no tex ac tly s am e
check_sca n_chain check_scenar ios check_sig noff_correla tion check_timing
check_scan_c hain
check_timing
1-1 Mapping No equivalent command No equivale nt command Not applicable Similar but not exactly same More functionality in IC Compiler II
check_tlu _plus_file s c heck_zrt_routability
check_routability
No equivale nt command 1-1 Mapping
clock_opt
clock_opt
Closest match
Not applicable New options added in IC Compiler II: -check_shield checks routing shapes related to the shielding flow ems: report the check results to the new message browser window IC Compiler II clock_opt has three stages using -from and -to control. Most IC Compiler clock_opt options are mapped to application options or new stage control in IC Compiler II. For example, -no_clock_ro ute is mapped to skipping the second stage (route_clock ) with -from and -to control -inter_clock_ba lance (perform interclock delay balancing) is mappedto calling balance_clock _groups after clock tree synthesis and optimization within the clock_opt core command -concurrent_clo ck_and_data is mapped to the clock_opt.flow.enable_cc d application option with a setting of true -update_clock_la tency is not required; the clock_opt command automatically updates the clock latency after clock routing -only_psyn is mapped to clock_opt -from final_opto -only_cts (perform only clock tree synthesis, clock tree optimization, and clock routing) is mapped to clock_opt -from build_clock -to route_clock -optimize_dft is on-by-default
clock_opt_f easibility c los e close_distributed_route c los e_m w_c el
c lo se n/a c lo se_blo ck s
c los e_m w_lib
c lo se_lib
No equivalent command 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 No equivalent command Not applicable S imi lar but no tex ac tly s am e - hiera rc hy is no ts uppo rt ed -all_views is not supported -all_versions is not applicable The other options are the same S imi lar but no tex ac tly s am e - sa ve is ma pped t o -s av e_des igns
colle ctio n_to_li st commit_fp_group_block_ring
commit_block
No equivale nt command Not applicable Similar but notexactly same None of theoptions aresupported; usethe improvedfeature instead
commit_fp_plan_groups
commit_block
Similar but notexactly same None of theoptions aresupported; usethe improvedfeature instead
commit _fp_rail commit _skew_group c ompare_collec tions compare_delay_c alculation compare_lib compare_rc compile_clo ck_tree
compare_collections n/a synthesize_clock_trees
compile_fp_clock_plan
synthesize_clock_trunks
c om pi le _p ow er _p la n
c om pi le _p g
compile_premesh_tree compress_scenario s compute_polygons
compute_polygons
c on ca t connect_logic_net connect_net connect_pin
c on ca t connect_logic_net connect_net connect_pins
connect_power_switch
connect_power_switch
connect_spare_diode connect_supply_net
connect_supply_net
connect_tie_cells c on ti nu e convert_from_polygon convert_to_polygon convert_mw_li b
c on ti nu e create_poly_rect and create_geo_mask
No equivale nt command No equivale nt command
Not required Not required
1-1 Mapping No equivalent command No equivalent command No equivale nt command Closest match
Options match IC Compiler 1-1
Not applicable Not applicable Runs both clock tree synthesis andclock tree optimization Does not support -config_file_read, -config_file_write, -high_fanout_net, -sync_phase, and -insert_self_gating -operating_condition is not supported and not not required Similar but notexactly same Simplifie d usage model
S im il ar b ut n ot e xa ct ly s am e - st ra te gy i sm ap pe dt o - st ra te gi es -undo has no change -verbose is not supported -write_default_template is not supported -ignore_design_rules is mapped to -ignore_drc -ring is not supported No equivale nt command Not yet supported No equivale nt command Not required Similar but not exactly same -boolean is mapped to -operation poly_list1 and poly_list2 arguments are mapped to -objects1 and -objects2; note they accept different types of objects 1 -1 M ap pi ng O pt io ns m at ch I C C om pi le r 1 -1 Closest match IC Compiler does not do anything when you run this command 1-1 Mapping Options match IC Compiler 1-1 Similar but not exactly same -from is mapped to -driver -to is mapped to proportional option loads -port_name is not supported -verbose is not supported Closest match -auto and-pattern_listare not yet supported -verbose is not supported -auto is not needed -pattern_list is not supported No equivale nt command Not applicable 1-1 Mapping UPF command -vct option is not yet supported No equivale nt command Not required 1 -1 M ap pi ng O pt io ns m at ch I C C om pi le r 1 -1 Closest match Different usage model, so options cannot be mapped directly No equivale nt command
Not applicable
IC Compiler
IC Compiler II
Category
convert_wire_to_pin copy_collection copy_floorpla n copy_mim
create_termin al copy_collection
Closest match 1-1 Mapping No equivale nt command No equivalent command
copy_mw_cel
copy_block
c opy_mw_lib
copy_lib
c op y_ obj ec ts
c op y_ ob je ct s
count_drc_vio lations cputime create_auto_shield create_base_array create_block_abstraction
cputime n/a create_abstract
Comments
Have to use create_terminal to manually createa terminal to match the wire shape Options match IC Compiler 1-1 Not supported Not needed, automatic detectionof multiply instantiated blocks Use get_mib_objects for query Similar but not exactly same -from and -from_lib rary are mapped to -from_blo ck lib :blo ck format -to and -to_library are mapped to -to_block lib:block format -hierarchy and -check_only are not supported -overwrite is similar to -force but not exactly the same Similar but not exac tly same -from is mapped to -from_lib -to is mapped to -to_lib S im il ar b ut n ot e xa ct ly s am e - de lt a a nd - to a re m app ed t o - de lt a -use_same_net is mapped to -net net -x_pitch_type and -y_pitch_type are new No equivale nt command Not applicable 1-1 Mapping Options match IC Compiler 1-1 No equivalent command Not applicable No equivale nt command Not supported 1-1 Mapping Option mapping: o In general, IC Compiler II has more flexibility in terms of options o -include is not supported (In IC Compiler, customers were mostly using this option to retain objects that are referenced in the top-level SDC. Because IC Compiler II retains objects with constraints during create_abstract, the impact of the lack of support for this option is minimized).
create_boundary
set_attribute current_block boundary Closest match
c re at e_ bo und s
c re at e_ bo un d
create_buffer_tree
create_buffer_tree s
c reate_cell
create_cell
create_clock create_clo ck_mesh
create_clock create_clo ck_straps
c rea te_c omm and_gr oup create_connvie w create_die_area
c rea te_c omm and_gr oup
boundary is an attributeof the block object
S im il ar b ut n ot e xa ct ly s am e - co or di na te i sm ap ped t o - bo und ar y -diamond is not supported in K-2015.06 -cycle_color and -color are not supported Similar but not exactly same Similar but not exac tly same -view is not supported -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option -hierarchical; use create_module to create a module, and then use create_cell 1-1 Mapping Similar but notexactly same Simplifie d usage model supports wide range of custom clock mesh and strap creatio n
1 -1 Ma pping No equivale nt command set_attribute current_block boundary Closest match
O pt ions ma tc hI CC ompiler 1- 1 Not required die_area is mapped to the boundary of the block
{{x1 y1} {x1 y2} … {xn yn} … {x1 y1}}
create_differential_group
route_rdl_differential
Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
create_drc_error
create_drc_error
create_drc_error_type
create_drc_error_type
Similar but not exactly same -error_view is mapped to -error_data -type is mapped to -error_type -info is mapped to -information -rectangles and -polygons polygons are mapped to -polygons and -polylines -net is not supported -required is mapped to -required_spacing -actual is mapped to -actual_spacing -route_types is not supported Similar but notexactly same -error_vie w is mapped to -error_data -class is mapped to -error_class -info is mapped to -brief_info and verbose_info -status is mapped to -severity -level is not supported Similar but notexactly same obje ct_listargument is not supported; use add_to_edit_group to add obje ct
create_edit_group
create_edit_group
create_floorpla n
initializ e_floorpla n
Similar but not exactly same -control_ type is not supported -core_utiliza tion is mapped to -row_core_ratio -core_width is mapped to -core_offset -core_height is mapped to -core_side_length -use_vertical_row is not supported -no_double_back is not supported -start_first_row is not supported -flip_first_row is the same -left_io2core is not supported -right_io2core is not supported -bottom_io2core is not supported -top_io2core is not supported -keep_macro_place is mapped to -keep_macro_placement -keep_std_cell_place is mapped to keep_std_cell_placement -min_pad_height is not supported -pad_limit is mapped to -honor_pad_limit -keep_io_place is mapped to -keep_io_placement
create_fp_block_shie lding
create_keepout_margin
Closest match
create_fp_blo ckages_for_child _hardmacro
create_keepout_margin
Closest match
create_fp_group_block_ring
create_pg_ring_pattern
Similar but notexactly same -nets has no change -output_directory is not supported -horizontal_ring_layer is mapped to -horizontal_layer -horizontal_ring_width is mapped to -horizontal_width -horizontal_ring_offset is not supported -horizontal_ring_spacing is mapped to -horizontal_spacing -vertical_ring_layer is mapped to -vertical_layer -vertical_ring_width is mapped to -vertical_width -vertical_ring_offset is not supported -vertical_ring_spacing is mapped to -vertical_spacing -horizontal_strap_layer is mapped to -horizontal_spacing -horizontal_strap_width is mapped to -horizontal_width -vertical_strap_layer is mapped to -vertical_layer -vertical_strap_width is mapped to -vertical_width -skip_strap is not supported
create_fp_pin s
create_pin
-inside_boundary is mapped to -inner -outside_boundary is mapped to -outer -side_list is not supported -metal_layers is mapped to -layers -shielding_width is mapped to -min_padding_per_macro and -max_padding_per_macro -width_in_microns is not supported -tie_to_net is not supported -block_level is not supported object_list is mapped to block_cell_list "colle ctio n of soft macros" is mapped to block_cell_list
Similar but not exactly same None of the options are supported; use the improved feature instead
IC Compiler
IC Compiler II
Category
create_fp_pla cement
create_placement -floorpla n
Simil ar but not exactly same -effort has no change -max_fanout is not supported -no_hierarchy_gravity is not supported -no_legalize is not supported -incremental string is mapped to -incremental -congestion_driven is ma pped to -congestion -timing_driven has no change -num_cpus is not supported -plan_groups is not supported -voltage_areas is not supported -optimize_pins is not supported -consider_scan is not supported -write_placement_blockages is not supported -exploration is not supported
create_fp_plan_group_padding c re at e_ fp _v ir tu al _p ad
s et _v ir tu al _p ad
create_freeze_silicon_leq_change_list create_generated_clock
create_generated_clock
create_lib_trac k
Comments
No equivalent command Not required S im il ar b ut n ot e xa ct ly s am e - ne ts h as n oc ha ng e -layer has no change -point is mapped to -coordinate -load_file is not supported -save_file is not supported No equivalent command Not applicable Similar but notexactly same -preinvert is not yetsupported
create_lo gic_net create_logic _port create_macro_fram
set_attribute [get_layers xx] track_offset | routing_direction create_lo gic_net create_logic _port create_frame
create_mask_constraint_route_guides create_mw_cel
create_block
c rea te_m w_lib
c rea te_lib
create_net create_net_search_pattern create_net_shape
create_net create_shape -net
create_on_demand_netlist create_open_drc_error
create_drc_error
No equivalent command Not required, automatically created by the tool Similar but not exactly same See create_drc_error comments
create_open_locator_drc_error
create_drc_error
Similar but not exactly same See create_drc_error comments
create_operating_conditions create_pad_rings
compile _pg
No equivalent command Automatically defined by the tool Similar but not exactly same None of the options are supported; use the improved feature instead
create_partit ion create_pg_network create_physic al_bus create_physical_buses_from_patterns create_pin_guide
explore_logic_hierarchy
c reate_placement create_placement_blockage
create_placement create_placement_blockage create_pin_blockage
create_plan_groups create_port create_power_domain create_power_plan_regio ns
create_pin_guide
create_port create_power_domain create_pg_regio n
Closest match
No unit tile block object in IC Compiler II; you define the track offset and routing direction on the layers
Closest match IC Compile r does not do anythin g whenyou run this command Closest match IC Compiler does not do anything when you run this command Similar but not exactly same Consoli dation in IC Compile r II to remove legacy Milkyway blo ckages Supported options are different: -library_name is not supported -cell_name is not supported -routing_blockage_output_layer is not supported -treat_all_blockage_as_thin_wire is not supported -treat_metal_blockage_as_thin is not supported -feedthrough_layers is not supported -extract_via_on_layer is not supported -extract_via_within_pin_area_only is not supported -preserve_all_metal_blockage is mapped to -preserve_metal_blockage -extract_blockage_by_block_core_with_margin is mapped to -block_core_margin -extract_blockage_by_merge_with_threshold is mapped to -merge_metal_blockage -identify_macro_pin_by_pin_text and -*_pin_text_layers are mapped to the file.gds.text_layer_map application option (this application option is not necessary in icc2_shell) -extract_pin_connectivity_through is mapped to read_gds -trace_option trace_connectivity in icc2_lm_shell (not used in icc2_shell) -pin_must_connect_area_layer_number is mapped to -pin_must_connect_area_layers -auto_pin_must_connect_area_threshold is mapped to -pin_must_connect_area_thresholds No equivalent command Not applicable Similar but notexactly same -vie w is not supported; specif y the view name with the block name by using thefoll owing format: blo ck_name.vie w_name S imi lar but no tex ac tly s am e - bus_na ming_st yle is no ta pplic able -mw_reference_library is mapped to -ref_libs -reference_control_file is not applicable -open is the default behavior in IC Compiler II The other options are the same 1-1 Mapping Options match IC Compiler 1-1 No equivalent command No net pattern supportin IC CompilerII Similar but notexactly same -type is mapped to -shape_type; wire is not supported, use path instead -origin and -length are not supported -bbox and -boundary are mapped to -boundary -points is mapped to -path -width has no change -path_type is mapped to -start_endcap, -end_endcap and -start_extension, -end_extension -layer and -net have no change -vertical is not needed -route_type is mapped to -shape_use -datatype is not supported; specify the data type with the layer using the following format: -lay er layer:datatype -mask_constraints is not supported; use set_attribute with the mask_constraint attribute -avoid_short_segment is not supported
Closest match No equivale nt command No equivale nt command No equivalent command Similar but notexactly same
Noneof the optio ns are supported; use the improved feature instead(explore_logic_hierarchy) Not applicable Not supported Not supported -bbox and-boundary areconsoli dated into -boundary in IC Compiler II
1-1 Mapping Similar but not exactly same -bbox is mapped to the create_placement_blockage option -no_pin and -blocked_layers are mapped to create_pin_blockage -no_register, -buffer_only, -category, -no_rp_group, -no_hard_macro are mapped to the corresponding values of the create_placement blockage type option Other options: named same on create_placement_blockage No equivale nt command Not applicable 1-1 Mapping Options match IC Compiler 1-1 1-1 Mapping UPF command Similar but not exactly same -core optio n has no change -group_of_macros option has no change -polygon option has no change -voltage_area option has no change -exclude_macros option has no change -expand option has no change -jog_threshold not supported -macro_offset option has no change -macro_offset_file not supported -notch_threshold not supported -remove_jog_method is mapped to -remove_jog -remove_notch_type is mapped to -remove_notch
IC Compiler
IC Compiler II
Category
c re at e_ po we r_ st ra ps
c re at e_ pg _s tr ap
S im il ar b ut n ot e xa ct ly s am e - ne ts i sm app ed t o - ne t -undo not supported -direction option has no change -start_at not supported -layer option has no change -width option has no change -configure not supported -num_groups not supported -step not supported -stop not supported -pitch_within_group not supported -start_low_ends not supported -start_low_ends_coordinate is mapped to -low_end -start_high_ends not supported -start_high_ends_coordinate is mapped to -high_end -extend_low_ends is mapped to -extend_low -extend_high_ends is mapped to -extend_high -num_placement_strap not supported -increment_x_or_y distance not supported -special_via_rule -special_via_x_offset -special_via_y_offset -offset_both_sides_for_special_via-special_via_x_size -special_via_y_size -special_via_x_step-special_via_y_step not supported -advanced_via_rules is mapped to -via_rule but the usage is different -special_rules rule_name not supported -look_inside_std_cells not supported -std_cells collection_of_cells not supported -keep_floating_wire_pieces not supported
create_power_switch create_power_switc h_array
create_power_switch create_power_switc h_array
1-1 Mapping Closest match
UPF command option names and functionality have changed -lib_cell : Same -bounding_box : -boundary -relative_to_v oltage_area is not supported -design : IC Compiler II done by voltage area, -voltage_area switch -x_increment, -y_increment : Use -x_pitch, -y_pitch, -start_row, -start_c olumn is not supported -orientation : -orient -voltage_area : -voltage_area -respect is not supported (respects hard blockages, macros, and fixed standard cells by default) -prefix : -prefix -place_pattern : -pattern -offset_to_voltage_area : -x_offset, -y_offset -individual staggered: -checkerboard even|odd
create_power_switc h_ring
create_power_switc h_ring
Closest match
-switch_lib_cell: -lib_cell or -power_switch -offset: -x_offset, -y_offset -x_increment: -x_pitch -y_increment: -y_pitch -respect is not supported (respect hard_blockage/macro/fixed std cell by default) -check_overlap is not supported -density is not supported -area_object: use -voltage_area, -voltage_area_shape, or -boundary with macro boundary info -polygon: -boundary -outer/inner_corner_lib_cell: -outer/inner_corner_cell -start_point: -start_point -end_point: -end_point -no_gap is not supported -prefix: -prefix -place_pattern: -pattern( a ring placement type pattern) -continue_pattern: -continue_pattern -same_orientation is not supported -filler_lib_cell: -filler_cells -vertical_switch_lib_cell is not supported -vertical_filler_lib_cell is not supported
create_preroute_vias
create_pg_vias
Similar but not exactly same -advanced_via_rules is not supported -buses is not supported -connect_to_targets_on_all_layers_in_between is not supported -do_not_merge_targets is not supported -from_layer is mapped to -from_layers -from_object_bus | -from_object_macro_io_pin | -from_object_macro_io_pin_connection | -from_object_ring | -from_object_std_pin | from_object_std_pin_connection | -from_object_strap | -from_object_user is mapped to -from_types followed by keywords -ignore_parallel_targets is mapped to an opposite option -allow_parallel_objects -mark_as option has no change -nets option has no change -object_shapes is not supported -offset_both_sides_for_special_via is not supported -optimize_via_locations is not supported -special_via_rule is not supported -special_via_x_offset | -special_via_x_size -special_via_x_step | -special_via_y_offset | -special_via_y_size | -special_via_y_step are not supported -tag tag_name is not supported -to_layer is mapped to -to layers -to_object_bus | -to_object_macro_io_pin | -to_object_macro_io_pin_connection | -to_object_ring | -to_object_std_pin | to_object_std_pin_connection | -to_object_strap | -to_object_user are mapped to -to_types followed by keywords -undo is not supported -within is mapped to -within_bbox -x_coordinate_start, -x_pitch, -x_step, -x_step_start, -y_coordinate_start, -y_pitch, -y_step, and -y_step_start are not supported -within_voltage_areas is not supported -exclude_voltage_areas is not supported -merge_within_range is not supported
create_pst create_qor_snapshot
create_pst
1-1 Mapping No equivale nt command
UPF command Not applicable
No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command 1-1 Mapping 1-1 Mapping Similar but not exactly same
Not required Not required Not required Not required Not required Not required Not required Not required Not required
create_qtm_constraint_arc create_qtm_delay_arc create_qtm_drive_type create_qtm_generated_clock create_qtm_lo ad_type create_qtm_model create_qtm_path_type create_qtm_port create_rail_setup create_rdl_power_extensio n create_rdl_shield create_rectangular_rings create_rectilinear_rings create_register_bank
create_rdl_power_extensio n create_rdl_shields create_pg_ring_pattern; set_pg_strategy; compile_pg create_pg_ring_pattern; set_pg_strategy; compile_pg create_multibit
Comments
Improved usage
Similar but not exactly same Improved usage 1-1 Mapping
Name change
IC Compiler
IC Compiler II
Category
Comments
create_route_guid e
create_routing_guide
create_routin g_blockage
create_routing_blockage
Similar but notexactly same -coordinateis mapped to -boundary -no_preroute_layers and -no_signal_layers are mapped to create_routing_blockage -net_types -zero_min_spacing is mapped to create_routing_blockage -zero_spacing -preferred_direction_only_layers is mapped to -preferred_direction_only -layers -repair_as_single_sbox is not supported -track_utilization_layers is mapped to -layers -switch_preferred_direction_layers is mapped to -switch_preferred_direction -layers -single_layer_routing is mapped to -river_routing -layers -max_number_of_pattern is mapped to -max_patterns others: named same Similar but notexactly same -bbox is mapped to -boundary
c rea te_r out ing_c or rido r
c rea te_ro ut ing_c or rido r
S imi lar b ut no t ex ac tly s am e r efer t o ma nc rea te_r out ing_c or rido r
create_routin g_corrid or
create_routing_corridor
create_rp_group
create_rp_group
Similar but notexactly same -rectangles is mapped to -boundary -nets is mapped to -object Closest match same command but different option “Name was given without option” is mapped to -name
-design is dropped The other options have to be set using set_rp_group_options create_scenario
create_scenario
create_short_drc_error
create_drc_error
create_sig noff_setup create_site_row
create_site_row
Similar but not exactly same In IC Compiler, it creates a scenario. In IC CompilerII, it creates a scenario and specifies mode and corner associated with the scenario. The basic function is similar. Similar but not exactly same see create_drc_error comments
create_spacing_drc_error
create_drc_error
No equivale nt command Not applicable Similar but not exactly same supported options are different: -coordinate is mapped to -origin -kind is mapped to -site -orient is mapped to -site_orientation -dir is mapped to -orientation -count is mapped to -site_count -space is mapped to -x_margin Note: the value of options could be different, check the man page for details. Similar but not exactly same see create_drc_error comments
create_stack_via _on_pad_pin create_supply_net create_supply_port create_supply_set create_terminal
create_pg_vias create_supply_net create_supply_port create_supply_set create_shape -port + create_terminal
Closest match 1-1 Mapping 1-1 Mapping 1-1 Mapping Closest match
c re at e_ te xt
c re at e_ sh ap e
c re at e_ tr ac k
c re at e_ tr ac k
create_user_shape
create_shape
c reate_via
create_via
c re at e_ vi a_ ma st er
c re at e_ vi a_ de f
c rea te_v ia _regi on
c rea te_v ia _regio n
None of theoptions aresupported; usethe improvedfeature instead UPF command UPF command UPF command To create a terminalin IC Compiler II, you must create the shape for a port first, then use create_terminal on the shape. -bbox and -boundary are mapped to -boundary of create_shape -layer is mapped to -layer of create_shape -port is mapped to -port of create_shape and create_terminal -direction and -name: same name option on create_terminal -mask_constraint is mapped to mask_constraint attribute of shape; you can use set_attribute to modify S im il ar b ut n ot e xa ct ly s am e - or ig in , - la ye r, a nd - he ig ht : na me ds am e -orient is mapped to -orientation -anchor is mapped to -justification -datatype: can be specified with layer in the layer:purpose format string is mapped to -text S im il ar b ut n ot e xa ct ly s am e - bo un di ng _bo x i s m ap pe dt o - bbo x other options in IC Compiler are named same in IC Compiler II Similar but not exactly same -type is mapped to -shape_type; wir e is not supported. Use path. -origin and -length are not supported -bbox and -boundary are mapped to -boundary -points is mapped to -path -width: same named option -path_type is mapped to -start_endcap/-end_endcap and -start_extension/-end_extension -layer and -net: same named options -vertical: not needed -route_type is mapped to -shape_use -datatype: no such option; c an be specified together with layer by -layer layer:datat ype -mask_constraints: use set_attribute with mask_constraint attribute -avoid_short_segment is not supported
Similar but not exac tly same -at is mapped to -origin -master is mapped to -via_def -name, -auto, -allow_multiple are not supported -no_net: not applicable -route_type is mapped to -shape_use -orient is mapped to -orientation -type: not needed -col and -row are mapped to -size {r c} -x_pitch and -y_pitch are mapped to -pitch {horizontal vertical} -lower, -upper, and -via_mask_constraint: use set_attribute S im il ar b ut n ot e xa ct ly s am e s up po rt ed o pt io ns a re d if fe re nt : -name does not exist, specify via_def_name directly. -cut_layer_name is mapped to -cut_layer, while -lower/upper_layer_name are not needed -rectangles is mapped to -shapes -cut_width + -cut_height is mapped to -cut_size {Horizontal Vertical} -lower_layer_enc* become -lower_enclosure {H V} -upper_layer_enc* become -upper_enclosure {H V} -min_cut_spacing has no change -quiet is not supported. 1 -1 Ma pping P ro vide equiv al ent f unc tio nbut dif fer ent o ptio nnam es -port_name is mapped to –terminal
-via_region is mapped to -via_def -rotate_90 is mapped to -rotate create_voltage_area
create_voltage_area
create_voltage_area_feedthroughs create_wiring_keepouts create_zrt_shield current_design current_design_name
create_shields current_design get_attribute current_designname
current_instance current_mw_cel
current_instance current_blo ck
current_mw_li b
current_lib
current_scenario cut_fp_preroutes_into_plan_groups
current_scenario push_down_obje cts
c ut_objects cut_row da te decrypt_lib
reshape_objects da te n/a
Similar but notexactly same -coordinateand-polygons aremapped to -region -power_domain is mapped to -power_domains -guard_band_x and -guard_band_y are mapped to -guard_band -color and -cycle_color are not supported modules argument is mapped to -cells Other options are named same No equivalent command Not needed No equivalent command Not required 1-1 Mapping Options match IC Compiler 1-1 Closest match No optiondifference. In IC Compiler II, this command returnthe coll ectio n of current block Similar but not exactly same Not applicable 1-1 Mapping Options match IC Compiler 1-1 Similar but not exactly same The format of the design name can be dif ferent: IC Compiler: designName.viewName;version IC Compiler II: [libName:]designNa me[/labelName][. viewName] Similar but not exactly same in IC Compile r, current_mw_li b can only query the current lib rary In IC Compiler II, current_lib can query or set the current working library 1-1 Mapping Similar but notexactly same net_coll ectio n is mapped to obje ct_collection No equivalent command No equivale nt command 1 -1 Ma pping No equivalent command
Improved usage Not supported O pt ions ma tc hI CC ompiler 1- 1 Not applicable
IC Compiler
IC Compiler II
define_antenna_accumula tio n_mode
define_antenna_accumulatio n_mode 1-1 Mapping
Options match IC Compiler 1-1
define_antenna_area_rule define_antenna_layer_ratio_scale
define_antenna_area_rule define_antenna_layer_ratio_scale
1-1 Mapping 1-1 Mapping
Options match IC Compiler 1-1 Options match IC Compiler 1-1
defin e_antenna_layer_rule defin e_antenna_rule defin e_bus define_io_antenna_area
defin e_antenna_layer_rule defin e_antenna_rule set_attribute antenna_area
1-1 Mapping 1-1 Mapping No equivale nt command Similar but not exactly same
Added new -name optio n to specify the nameof the ruleto be created Added new -name optio n to specify the nameof the ruleto be created Not supported similar but not exactly the same
define_io_diode_protection
set_attribute diode_protection Similar but not exactly same similar but not exactly the same
defin e_io_gate_siz e
set_attribute gate_area
Simil ar but not exactly same simil ar but not exactly the same
d ef in e_ na me _r ul es
d ef in e_ na me_ ru le s
To be implemented - No target yet N o e qu iv al en t c om ma nd
def ine_pr oc _at tributes define_routing_rule defin e_scali ng_lib _group define_user_attrib ute
def ine_pr oc _a ttr ibutes create_routing_rule
define_user_attribute -type -range_min -range_max -one_of -class
define_user_attribute -type -range_min -range_max one_of -name -classes -persistent
define_libcell_subset
define_user_attribute-persistent
define_via define_voltage_area_routing_rule define_zrt_redundant_vias
add_via_mapping
delete_operating_conditions derive_constraints derive_mpc_macro_options deriv e_mpc_options deriv e_mpc_port_optio ns derive_pg_connectio n
connect_pg_net
Category
1 -1 Ma pping Closest match No equivale nt command 1-1 Mapping
Comments
Not requested yet N ew i nK -2 01 5. 06 All options have the same names, except the ones that are not supported yet O pt ions ma tc hI CC ompiler 1- 1 Removed -spacin g_weight_le vel and -taper_le vel Not required -cla ss mapped to -cla sses, while -cla ss stil l works (wit h auto comple tio n); must use -persistent in IC Compiler II so the attribute is persistent
Similar but not exactly same
Closest match -rect option mapped to -shapes. No equivalent command Will be supported in a future release Similar but not exactly same -from_* is mappedto -from {} -to_* is mapped to -to {} -from_via_array_mode is mapped to -transform -to_via_weights is mapped to -weight No equivalent command No equivalent command Design Compiler and IC Compiler command for DesignCompiler budgeting only; does not apply to IC Compiler II No equivalent command Not required, automatically created by tool No equivale nt command Not required No equivale nt command Not required Closest match -reconnect: default behavior in IC Compile r II -create_nets, -tie, -all, and -create_ports: covered under -automatic -power_net and -ground_net are mapped to -net -power_pin and -ground_pin are mapped to port_pin_list -cells: can be specified in port_pin_list -resolve_conflict: performed by default -preserve_physical_only_pg: not applicable 1-1 Mapping Closest match None of theoptions aresupported; usethe improvedfeature instead 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 No equivalent command S im il ar b ut n ot e xa ct ly s am e O pt io ns m at ch I C C om pi le r 1 -1
deriv e_placement_blo ckages derive_reserved_placement_area dic t disable_double_patterning_rules d is co nn ec t_ ne t
derive_placement_blo ckages report_placement* dic t
display_flip_chip _route_flylines
View->Fly lines->RDL Flylin es
Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
display_rdl_route_fly lines
View->Fly lines->RDL Flylin es
Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
d is tr ib ut e_ obj ec ts
s pr ea d_ ob je ct s
S im il ar b ut n ot e xa ct ly s am e - an ch or o bj ec t, s up po rt ed -parent, supported -from, supported -to, supported -side, not supported -spread, not supported -vertical, not supported -offset, not supported -wiretrack_o ffset, not supported -resize, not supported -keep_area, not supported -ignore_fixed, not supported objects, supported
drive_of ec ho eco_netlist
ec ho eco_netlist
d is co nn ec t_n et
enable_double_patterning_rules enable_primetime_icc_consistency_settings enable_writ e_li b_mode e nc od in g end_fp_trace_mode eo f er ro r error_info estim ate_fp_area estimate_fp_black_boxes estim ate_rc ev al evaluate_mac ro_placement exec exi t expand_flip_chip_cell_locations e xp an d_ ob je ct s
explore_power_switch expr extend_mw_layers
e nc od ing eo f er ro r error_info create_blackbox*
ev al report_placement exec exit e xp an d_o bj ec ts
report_power_switch_patterns expr
No equivalent command 1 -1 Ma pping Closest match No equivalent command No equivalent command
An old Design Compilercommand. No plan in IC Compiler II O pt ions ma tc hI CC ompiler 1- 1 -physical is mapped to -compare_physic al_only_cells IC Compiler II has -write_summary, -extract_timing_eco_changes, and -compare_target_modules options Not applicable Not applicable
No equivale nt command 1 -1 M ap pi ng No equivale nt command 1 -1 Ma pping 1 -1 Ma pping 1-1 Mapping No equivale nt command Similar but notexactly same
Not supported O pt io ns m at ch I C C om pi le r 1 -1 Not required O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 Options match IC Compiler 1-1 Not required None of theoptions aresupported; usethe improvedfeature instead
No equivale nt command 1 -1 Ma pping Closest match 1 -1 Ma pping 1 -1 Ma pping No equivalent command S im il ar b ut n ot e xa ct ly s am e
Not applicable O pt ions ma tc hI CC ompiler 1- 1 None of the options are supported; use the improved feature instead O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 Not required - si de , s up po rt ed -fill, not supported -offset, supported -hit_types, not supported -ignore_fixed, not supported None of the options are supported; use the improvedfeature instead O pt ions ma tc hI CC ompiler 1- 1 Not applicable
Closest match 1 -1 Ma pping No equivale nt command
IC Compiler
IC Compiler II
Category
extract_blockage_pin _via
create_frame
Similar but not exactly same -library_name is simil ar to open_li b command -cell_name is similar to open_block command -generate_boundary is similar to the read_gds -layer_map command in icc2_lm_shell -cell_types is similar to -block_all auto option -preserve_all_metal_blockage is mapped to -preserve_metal_blockage -routing_blockage_output_layer does not have similar mapping. IC Compiler II always outputs routing blockages (is_zero_blockage true|false) -treat_all_blockage_as_thin_wire is similar to -convert_metal_blockage_to_zero_spacing {{layer min_spacing}} option -treat_metal_blockage_as_thin is similar to -convert_metal_blockage_to_zero_spacing {{layer min_spacing}} option -extract_pin_connectivity_through is similar to read_gds -trace_option and trace_connectivity commands in icc2_lm_shell -pin_must_connect_area_layer_number is mapped to -pin_must_connect_area_layers option -auto_pin_must_connect_area_threshold is mapped to -pin_must_connect_area_thresholds -skip_rotated_via_region does not have similar mapping -extract_via_region_below_metal does not have similar mapping -contact_selections is mapped to -include_nondefault_via -carry_layer_datatype is not yet supported -extract_via_region_for_pg does not have similar mapping -keep_pin_must_block_out_area is similar to -preserve_metal_blockage option -*_pin_text_layers is similar to the file.gds.text_layer_map application option in icc2lm_shell
extract_fp_rail_ to_constraints extract_fp_relative_location extract_fram_property extract_hier_antenna_property extract_rc
analyze_rail copy_rela tive_pla cement n/a n/a update_timing
Closest match Closest match No equivalent command No equivalent command Similar but not exactly same
None of the options are supported; use the improved feature instead Noneof the optio ns are supported; use the improved feature instead Not applicable Not applicable
extract_rp_group extract_zrt_hier_antenna_property f bl oc ke d f co nf ig ur e f co py f ile f il ee ve nt filter_collection find_objects
derive_hier_antenna_property f bl oc ke d f co nf ig ur e f co py f ile f il ee ve nt filter_collection find_objects
No equivalent command 1-1 Mapping 1 -1 M ap pi ng 1 -1 M ap pi ng 1 -1 Ma pping 1 -1 Ma pping 1 -1 M ap pi ng 1-1 Mapping 1-1 Mapping
fix_isolated_via fix_signal_ em
n/a fix_sig nal_em
No equivalent command Closest match
flatten_clock_gating
No equivale nt command
Will supportin a future release Options match IC Compile r 1-1 O pt io ns m at ch I C C om pi le r 1 -1 O pt io ns m at ch I C C om pi le r 1 -1 O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 O pt io ns m at ch I C C om pi le r 1 -1 Options match IC Compiler 1-1 UPF command -exact option not supported in IC Compiler II Not applicable In IC Compiler II, -only _net_ndr and -only _cell_ based are not supported yet. In IC Compiler II, -only_segment_size is on by default so no such option available. Not yet supported
flatten_fp_black_boxes flatten_fp_hierarchy
explore_logic_hierarchy
No equivale nt command Not required Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
flip_mim
gui_select_mib_cells_of_selected
Closest match
flip_objects
flip_objects
f lush f oc al_opt f or f or ea ch f orea ch_in_c ol lec tio n f or ma t get_adjusted_endpoints get_alternative_lib_cell s get_always_on_logic
f lush r out e_opt f or f or ea ch f orea ch_in_c ollec tio n f or ma t
Similar but not exactly same -anchor, supported -x, -y, -direction, supported -flip_transform, not supported -ignore_fixed, not supported 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 Cl os es t ma tc h R un ro ute_o pt m ul tiple t imes to impr ov eQo R 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 1 -1 M ap pi ng O pt io ns m at ch I C C om pi le r 1 -1 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 1 -1 M ap pi ng O pt io ns m at ch I C C om pi le r 1 -1 No equivale nt command Not planned No equivale nt command Not supported No equivalent command can use get_cells -physical_context -filter ref_block.always_on==true to get AO logic. AO nets are dynamically associated in IC Compiler II
get_app_var get _a tt ribut e
get_app_var get _a tt ribut e
get_bounds get_buffers get_cell_ sit es g et _c el ls
get_bounds
g et _c el ls
Comments
None of the options are supported; use the improvedfeature instead
1-1 Mapping Options match IC Compiler 1-1 S imi lar but no tex ac tly s am e - cla ss : no t required -return_null_values: default behavior in IC Compiler II 1-1 Mapping Options match IC Compiler 1-1 No equivale nt command Not supported No equivale nt command Not supported S im il ar b ut n ot e xa ct ly s am e - in te rs ec t, - to uc hi ng , - wi th in , a nd - at : u se g et _o bj ec ts _b y_ lo ca ti on -object_id is not supported -all: default behavior in IC Compiler II Others are named same S im il ar b ut n ot e xa ct ly s am e I nf as tr uc tur e d if fe re nc e d ue t o o pt io ns s uc h a s - mo de o r - co rn er
g et _c lo ck s
g et _c lo ck s
g et _c om ma nd _o pt io n_ va lu es get_core_area get_coupling_capac itors get_cts_scenario
g et _c om ma nd _o pt io n_v al ue s get_core_area
1 -1 M ap pi ng 1-1 Mapping No equivalent command No equivale nt command
O pt io ns m at ch I C C om pi le r 1 -1 Options match IC Compiler 1-1
get_defined_commands get_design_lib _path get_die_area
get_defined_commands
1-1 Mapping No equivale nt command Closest match
Options match IC Compiler 1-1 Not applicable No die area object in IC Compiler II, users can use below command to query the boundary of the design:
Not required
get_attribute –name boundary –objects current_block
get_dominant_scenarios get_dont_touch_cells
No equivalent command apply to IC Compiler1 only Similar but not exactly same -type is not supported
get _drc _err ors
get_cells -hierarchica l -filter dont_touch==true get_nets -hierarchical -filter dont_touch==true get _dr c_err ors
S imi lar but no tex ac tly s am e I mpr ov ed us age
get_edit_groups
get_edit_groups
Similar but not exactly same -obje ct_id and -design_id are not supported
get_em_max_toggle_rate get_error_view_property
get_drc_errors; get_drc_error_types
No equivale nt command Closest match
get_fill_cells
get_fill_cells
None of the options are supported; use the improved feature instead Similar but not exactly same -type: you can use attributeis_arrayed to do the filtering
get_flat_cells
get_cells -physic al_ context
get_dont_touch_nets
Similar but not exactly same -type is not supported
Not applicable
Similar but notexactly same -object_id is notsupported -all: default in IC Compiler II Simil ar but not exactly same -compact and -all: default behaviorin IC Compiler II -object_id is not supported Similar but notexactly same -object_id is notsupported -all: default behavior in IC Compiler II Closest match None of theoptions aresupported; usethe improvedfeature instead Closest match None of the options are supported; use the improved feature instead
get_flat_nets
get_nets -physical_context
get_flat_pins
get_pins -physical_context
get_floorplan_data get_fp_trace_mode
writ e_flo orplan View->Mouse Tool->Timing Ruler; View->Flylines->Net Connections
get_fp_wirele ngth get_generated_clocks
route_glo bal get_generated_clocks
Closest match None of the options are supported; use the improved feature instead Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
get_latch_loop_groups get_layer_attribute
get_latch_loop_groups get_attribute
1-1 Mapping Similar but not exactly same -layer: use posit ional option of get_attribute to specify the layer
get_layers
get_layers
Similar but not exactly same -include_system: not appli cable sin ce no system layers in IC Compiler II
get_lib_attribute g et _l ib _c el ls
get_attribute g et _l ib _c el ls
1-1 Mapping Options match IC Compiler 1-1 S im il ar b ut n ot e xa ct ly s am e - sc en ar io s i sn ot s upp or te di nI C C om pi le r I I.
IC Compiler
IC Compiler II
Category
Comments
get_lib_pins g et _l ib s get_lic ense get_location
get_lib_pins g et _l ib s get_lic enses get_attribute
1-1 Mapping C lo ses t m at ch 1-1 Mapping Similar but not exactly same
Options match IC Compiler 1-1 - sc en ar io s: no t a pp li ca bl e; r et ur nb ot hr ef l ib s a nd d es ig nl ibs i nm em or y Options match IC Compile r 1-1 not specific option mapping
No equivalent command No equivalent command No equivale nt command
no net pattern support in IC Compiler II Not required
get_magnet_cells get_matching_nets_for_pattern get_mesh_nets get_message_ids get_message_info g et _m w_ ce ls
get_message_ids get_message_info g et _bl oc ks
1-1 Mapping Options match IC Compiler 1-1 1-1 Mapping Options match IC Compiler 1-1 S im il ar b ut n ot e xa ct ly s am e o ne r et ur ns m w_ ce lw hi le o th er r et ur ns b lo ck
g et _n et _s ha pe s
g et _s ha pes
g et _n et s
g et _n et s
get_new_bounds get_object_fixed_edit
set_fix ed_objects
get_object_name get_object_snap_type
get_object_name set_snap_settin g
get_path_groups
get_path_groups
S im il ar b ut n ot e xa ct ly s am e - sh ie ld _o f a nd - inc lud e_ sh ie ld s a re n ot s up po rt ed -intersect, -touching, -within, and -at: use get_objects_by_location -type: use -filter with shape_type attribute S im il ar b ut n ot e xa ct ly s am e - co mp ac t a nd - al l: d ef au lt b eh av io r i nI C C om pi le rI I -object_id is not supported No equivale nt command Not supported Closest match get_object_fixed_edit, command not supported set_fixed_objects, supported 1-1 Mapping Options match IC Compiler 1-1 Closest match get_object_snap_type, command not supported set_snap_settings, supported Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
get_physical_ buses g et _p hy si ca l_ li b_ ce l s
g et _l ib _c el ls
No equivale nt command Not supported S im il ar b ut n ot e xa ct ly s am e o pt io ns m at ch I C C om pi le r1 -1
g et _p hy si ca l_ li b_ pi ns
g et _l ib _pi ns
S im il ar b ut n ot e xa ct ly s am e o pt io ns m at ch I C C om pi le r1 -1
g et _p hy si ca l_ li bs g et _p in _g ui de s
g et _l ib s g et _pi n_ gu id es
C lo ses t m at ch i nI C C om pi le rI I, p hy si ca l l ib a nd l og ic l ib a re c om bi ne d S im il ar b ut n ot e xa ct ly s am e - obj ec t_ id i sn ot s upp or te d
get_pin _shapes
get_shapes
Similar but not exactly same -intersect, -touching, -within , and -at: use get_objects_by_lo cation
get_pins
get_pins
Similar but not exac tly same -object_id is not supported -all: default behavior in IC Compiler II Closest match get_attribute -objects [get_core_area] -name boundary Simil ar but not exactly same -touch, -within, and -intersect are mapped to get_obje cts_by_location -type: use -filter with attribute blockage_type in IC Compiler II C lo ses t m at ch - of _o bj ec ts o bj ec ts o pt io n h as n o c ha ng e -filter expression option has no change -quiet option has no change -regexp option has no change -nocase option has no change -exact option has no change patterns option has no change No equivale nt command Not supported Similar but notexactly same get_polygon_area accepts a polygon while IC Compiler II accepts poly _rects, geo_masks, shapes, layers, and other physic al obje cts
get_placement_area get_placement_blockages g et _p la n_ gr ou p_ pi n_ sh ape s
get_plan_groups get_polygon_area get_ports
get_placement_blockages g et _pi ns
compute_area
get_power_domains get_power_pla n_regions
get_power_domains get_pg_regions
get_ports
get_power_switches
get_power_switch_patterns
get_rdl_nets
report_rdl_routes
Similar but not exac tly same -object_id is not supported -all: default behavior in IC Compiler II 1-1 Mapping Options match IC Compiler 1-1 Similar but not exactly same -quie t optio n has no change -regexp option has no change -nocase option has no change -exact option has no change -filter option has no change Simil ar but not exactly same -hierarchical is not supported -filter expression has no change -quiet has no change -regexp has no change -nocase has no change -exact has no change -of_objects is not supported patterns has no change Similar but notexactly same None of theoptions aresupported; usethe improvedfeature instead
get_related_supply_net
get_related_supply_nets
Similar but not exactly same IC Compiler II has a superset of options. all IC Compiler options will work in IC Compiler II.
get_route_guides
get_routing_guides
Similar but notexactly same -touching and-wit hin aremapped to get_objects_by_lo cation
get_route_mode_options get_route_opt_zrt _crosstalk_o ptions get_route_zrt_common_options
n/a get_app_optio ns
No equivalent command Not applicable No equivalent command Similar but not exactly same get_app_optio ns route.common*
get_route_zrt_detail_ options
get_app_optio ns
Similar but not exactly same get_app_optio ns route.detail*
get_route_zrt_global_options
get_app_optio ns
Similar but not exactly same get_app_optio ns route.glo bal*
get_route_zrt_track_options
get_app_optio ns
Similar but not exactly same get_app_optio ns route.track*
get_routing_blo ckages
get_routing_blo ckages
Simil ar but not exactly same -type is not supported in IC Compiler II; can be achie ved by usin g -filt er withlayer.mask_name attribute -intersect, -touching, -within, and -at: use get_objects_by_location
g et _r p_ gr ou p_ ke epo ut s
g et _r p_ bl oc ka ge s
S im il ar b ut n ot e xa ct ly s am e
get_rp_groups
get_rp_groups
Similar but not exactly same more options
get_rp_groups_net_length get_scan_cells_of _chain get_scan_chains get_scenarios
get_cells_of_sca n_chain get_scan_chain_count get_scenarios
No equivalent command 1-1 Mapping 1-1 Mapping Same as get_scan_cells_of_chain Similar but not exactly same Infastructure dif ference due to options such as -mode or -corner
get_selection get_si_xtalk_bumps g et _s it e_ ro ws
g et _s it e_ ro ws
get_supply_nets
get_supply_nets
1-1 Mapping Options match IC Compiler 1-1 To be implemented - No Not implemented yet target yet S im il ar b ut n ot e xa ct ly s am e - obj ec t_ id i sn ot s upp or te d -intersect, -touching, and -within: use get_objects_by_location Similar but notexactly same IC Compiler II hasa superset of options. allIC Compiler options will work in IC Compiler II.
get_supply_ports
get_supply_ports
Similar but notexactly same IC Compiler II hasa superset of options. allIC Compiler options will work in IC Compiler II.
get_terminals
get_terminals
get_text
get_shapes
Similar but not exactly same -intersect, -touching, -within , and -at: use get_objects_by_lo cation -object_id is not supported Similar but notexactly same -intersect, -touching, -wit hin , -at aremapped to get_objects_by_lo cation -cla sses shape -fil ter shape_type==text
get_timin g_paths
get_timin g_paths
Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
get_tracks
get_tracks
Similar but not exactly same -intersect, -touching, -within , and -at are mapped to get_objects_by_lo cation -classes track
get_unix_variable get_user_grid
get_selection
getenv get_grids
1-1 Mapping Closest match
Options match IC Compiler 1-1 get_grids -type; in IC Compiler, it returns offset/step information of grid, while in IC Compiler II, it returns the grid collection.
IC Compiler
IC Compiler II
Category
get_user_shapes
get_shapes
Similar but notexactly same -intersect, -touching, and-wit hin are mapped to get_obje cts_by_locatio n -cla sses shape
get_utilization
create_utilization_configuration report_utilization
Closest match
get_via_masters
get_via_defs
get_via_regions
get_via_regions
get_vias
get_vias
g et _v ol ta ge _a re a_ sh ap es get_voltage_areas get_working_design_stack
g et _v ol ta ge _a re a_ sh ap es get_voltage_areas get_working_design_stack
get_zero_interco nnect_delay_mode
get_app_option_value -name time.delay_calculation_style
objects is mapped to report_utilizat ion -of_objects object; -row_based: create_utilization_configuration -capacity site_row; -consider_blockage: create_utilization_configuration -exclude {hard_blockages soft_blockages}; -consider_macro_keepout: create_utilization_configuration -exclude {macro_keepouts} -treat_macro_like_blockages: create_utilization_configuration -exclude {hard_macros ...} -flat: not applicable Simil ar but not exactly same -cut_layer, -upper_layer, -lower_layer: can be achie vedby get_via_defs -filt er cut_layer_names, or upper_la yer_name, or lower_la yer_name respectively Similar but not exactly same -intersect, -touching, -within, and -at are not supported as of K-2015.06 Similar but not exactly same -intersect, -touching, -within , and -at are mapped to get_objects_by_lo cation -classes via -include_shield and -shield_of are not supported 1 -1 M ap pi ng O pt io ns m at ch I C C om pi le r 1 -1 1-1 Mapping Options match IC Compiler 1-1 Simil ar but not exactly same -instances is not supported 1-1 Mapping
get_zrt_net_properties g et en v get s glo b g lo ba l g ro up
g et env get s glo b g lo ba l g ro up _c el ls
No equivale nt command 1 -1 M ap pi ng 1 -1 Ma pping 1 -1 Ma pping 1 -1 M ap pi ng S im il ar b ut n ot e xa ct ly s am e
group_path gui_bin g ui _c ha ng e_ hi ghl ig ht g ui _c re at e_ at tr gr ou p gui_create_pref_category gui_c rea te_pref _k ey gui_create_vm gui_create_vm_objects
group_path gui_bin g ui _c ha ng e_h ig hl ig ht g ui _c re at e_ at tr gr oup gui_create_pref_category gui_c reat e_pref _k ey gui_create_vm gui_create_vm_objects
1-1 Mapping 1-1 Mapping 1 -1 M ap pi ng 1 -1 M ap pi ng 1-1 Mapping 1 -1 Ma pping 1-1 Mapping 1-1 Mapping
g ui _c re at e_ vm bu ck et g ui _d el et e_ at tr gr ou p gui_ edit_vmbucket_contents gui_eval_command gui_exist_pref_category gui_exis t_pref _k ey
g ui _c re at e_ vm bu ck et g ui _d el et e_ at tr gr ou p gui_ edit_vmbucket_contents gui_eval_command gui_exist_pref_category gui_exis t_pref _k ey
1 -1 M ap pi ng 1 -1 M ap pi ng 1-1 Mapping 1-1 Mapping 1-1 Mapping 1 -1 Ma pping
gui_get_bucket_option gui_get_bucket_option_list g ui _g et _c ur ren t_ ta sk gui_get_highlight gui_get_highlight_options g ui _g et _l ay er _w id ths gui_get_map_list gui_get _ma p_opt io n gui_get_map_option_li st gui_get_pref_keys gui_get _pref _v al ue gui_ get_routes_between_objects gui_get_setting gui_get_task_list gui_get_vm gui_get_vmbucket
gui_get_bucket_option gui_get_bucket_optio n_list g ui _g et _c ur re nt _t as k gui_get_highlight gui_get_highlight_optio ns g ui _g et _l ay er _w id th s gui_get_map_list gui_get _m ap_opt ion gui_get_map_option_li st gui_get_pref_keys gui_get _pr ef_v alue get_routes_between_obje cts gui_get_setting gui_get_task_list gui_get_vm gui_get_vmbucket
1-1 Mapping 1-1 Mapping 1 -1 M ap pi ng 1-1 Mapping 1-1 Mapping 1 -1 M ap pi ng 1-1 Mapping 1 -1 Ma pping 1-1 Mapping 1-1 Mapping 1 -1 Ma pping 1-1 Mapping 1-1 Mapping 1-1 Mapping 1-1 Mapping 1-1 Mapping
gui_get _windo w_ids gui_get_window_pref_categories
gui_get _wi ndo w_ids gui_get_window_pref_categories
1 -1 Ma pping 1-1 Mapping
gui_get_window_pref_keys gui_get_window_pref_value g ui _g et _w in do w_ ty pe s gui_lis t_a ttr gro ups gui_load_cell _density_mm gui_load_pin _density_mm g ui _r em ov e_ pr ef _k ey g ui _r em ov e_ se le ct ed _o bj ec ts gui_remove_vm g ui _r em ov e_ vm bu ck et gui_set_bucket_option gui_set_cells _of_sele cted gui_set_clock_sources_of_selected gui_set_connected_cells _of_sele cted g ui _s et _c ur re nt _t as k gui_set_edit_group_chil dren_of_sele cted
gui_get_window_pref_keys
change_sele ction g ui _s et _c ur re nt _t as k change_sele ction
1-1 Mapping 1-1 Mapping 1 -1 M ap pi ng 1 -1 Ma pping 1-1 Mapping 1-1 Mapping 1 -1 M ap pi ng C lo ses t m at ch 1-1 Mapping 1 -1 M ap pi ng 1-1 Mapping Closest match No equivalent command Closest match 1 -1 M ap pi ng Closest match
gui_set_highlight_options g ui _s et _l ay er _w idt hs gui_set_leaf_cell s_of_selected gui_s et_m ap_o ptio n gui_set_net_flylines_of_selected
gui_set_highlight_options g ui _s et _l ay er _w id ths change_sele ction gui_set _ma p_o pt io n gui_highlight_nets_of_selected
1-1 Mapping 1 -1 M ap pi ng Closest match 1 -1 Ma pping Closest match
gui_set_nets_of_selected
gui_highlight_nets_of_selected
Closest match
gui_ set_netshapes_of_selected gui_set_physical_buses_of_selected
gui_ select_shapes_of_selected gui_select_bundles_of_selected
1-1 Mapping Closest match
gui_set_pin _shapes_of_selected
get_pin s
g ui _g et _w ind ow _t yp es gui_lis t_a ttr gro ups gui_load_cell _density_mm gui_load_pin_density_mm g ui _r em ov e_p re f_ ke y E di t- >D el et e gui_remove_vm g ui _r em ov e_v mb uc ke t gui_set_bucket_option change_sele ction
gui_set_plan_group_pin_shapes_of_selected
Comments
Closest match No equivalent command
gui_set_plan_groups_of_selected gui_set_port_and_pins_of_sele cted
get_pin s
No equivalent command Closest match
gui_s et_pref _v alue gui_set_rdl_routes_of_selec ted
gui_set _pref _v alue 1 -1 Ma pping gui_select_connected_rdl_net_s hapes Closest match
gui_set_routes_of_selected gui_set_setting gui_ set_shape_endcap gui_set_terminals_of_selected
change_sele ction gui_set_setting gui_ select_shapes_of_selected gui_select_terminals_of_selected
Closest match 1-1 Mapping Closest match Closest match
gui_set_vias_of_selected
gui_select_net_vias_of_selected
Closest match
gui_set_vm gui_set_vmbucket gui_set_voltage_ar eas_of_selected
gui_set_vm 1-1 Mapping gui_set_vmbucket 1-1 Mapping gui_select_voltage_a reas_of_selected Closest match
gui_set_window_pref_key gui_s ho w_ma n_pa ge gui_show_map
gui_sho w_ma n_pa ge gui_show_map
1-1 Mapping 1 -1 Ma pping 1-1 Mapping
Not applicable O pt io ns m at ch I C C om pi le r 1 -1 O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 O pt io ns m at ch I C C om pi le r 1 -1 - ex ce pt , - lo gi c, - pl a, - fs m, - hd l_ *, a nd - so ft a re n ot s upp or te d -design_name is mapped to -module_name Others are named the same Options match IC Compiler 1-1
S im pl if ied u sa ge m ode l
Simplif iedusage model Not required Simplif iedusage model Simplif iedusage model
Simplif iedusage model gui_set_net_flylines_of_selected command is not supported gui_highlight_net s _of_selected issupported gui_set_nets_of_selected command is not supported gui_highlight_nets_of_selected is supported gui_set_physical_buses_of_selected command is not supported gui_select_bundles_of_selected is supported gui_set_pin _shapes_of_selected command is not supported get_pins is supported Not required Not required gui_set_pin _shapes_of_selected , command not supported get_pins, supported gui_set_rdl_routes_of_selec ted command is not supported gui_select_connected_rdl_net_shapes is supported Simplif iedusage model Simplifie d usage model gui_set_terminals_of_selected command is not supported gui_select_terminals_of_selected is supported gui_set_vias_of_selectedcommand is not supported gui_select_net_vias_of_selected is supported
gui_set_voltage_area s_of_selected command is not supported gui_select_voltage_areas_of_selected is supported
IC Compiler
IC Compiler II
Category
gui_start gui_stop g ui _u pd at e_ at tr gr ou p g ui _u pd at e_ pr ef _f il e gui_update_vm gui_update_vm_annotations help h is to ry hookup_retention_register hookup_testports identify_clo ck_gating
gui_start gui_stop g ui _u pd at e_ at tr gr oup g ui _u pd at e_ pr ef _f il e gui_update_vm gui_update_vm_annotations help h is to ry
1-1 Mapping 1-1 Mapping 1 -1 M ap pi ng 1 -1 M ap pi ng 1-1 Mapping 1-1 Mapping 1 -1 Ma pping 1 -1 M ap pi ng No equivale nt command No equivalent command No equivale nt command
if ignore_site_row import_designs import_fp_black_boxes inc r index_collection inf o initialize_rectilinear_block
if
create_blackbox* inc r index_collection inf o initialize_floorplan -core_shape
1 -1 Ma pping No equivale nt command No equivale nt command Closest match 1 -1 Ma pping 1-1 Mapping 1 -1 Ma pping Similar but not exactly same
insert_boundary_cell
create_boundary_cell s
Simil ar but not exactly same -rule s $rule s is mapped to -$rules, the optio n names are almost the same
ins ert _buf fer
a dd_buf fer
Cl os es t ma tc h
insert_diode insert_is olation_cell
n/a create_mv_cells -isolatio n
insert_le vel_ shif ters
create_mv_cells -level_ shif ter
insert_metal_fill er insert_mv_cells insert_ng_fil ler insert_pad_fill er
signoff_create_metal_ fil l create_mv_cells
insert_redundant_vias insert_self_gatin g_dft_logic
create_io_filler_cells
Comments
O pt ions ma tc hI CC ompiler 1- 1 O pt io ns m at ch I C C om pi le r 1 -1 Not needed Not required O pt ions ma tc hI CC ompiler 1- 1 Not supported Not supported None of theoptions aresupported; usethe improvedfeature instead O pt ions ma tc hI CC ompiler 1- 1 Options match IC Compiler 1-1 O pt ions ma tc hI CC ompiler 1- 1 -shape {l | t | u | x} is not supported -control_type { ratio | length } is not supported -core_side_dim list is mapped to -core_side_ratio -use_current_boundary is mapped to -keep_boundary -row_core_ratio f is mapped to -row_core_ratio row_core_ratio -core_utilization ratio has no change -orientation {N|W|S|E} has no change -use_vertical_row is mapped to -use_site_row -no_double_back is not supported -start_first_row is not supported -flip_first_row has no change -left_io2core is not supported -right_io2core is not supported -top_io2core is not supported -bottom_io2core is not supported -keep_macro_place is mapped to -keep_macro_placement -keep_std_cell_place is mapped to -keep_std_cell_placement -keep_io_place is mapped to -keep_io_placement
I C C om piler I I ha s- lib_c ell o ptio n IC Compiler II does not have -location, -orientation, -no_of_cells, -freeze_silicon, -divide_load_by, -repeater_distance, -port_half_distance, ignore_pin_cap No equivalent command Not applicable Closest match use create_mv_cell s -isolatio n. need to use UPF method of set_isolation, set_isolation_control , map_isolation_cell instead of command options 1-1 Mapping use create_mv_cells -level_ shif ter need to use UPF strategy with set_level_shifter instead of options Closest match Not required Closest match IC Compiler II is missin g option -retentio n. IC Compiler II provides options to derive level_ shifter strategies. No equivale nt command Not required Similar but notexactly same Supported options are different: -cell is mapped to -reference_cells -overlap_cell is mapped to -overlap_cells -bounding_box is mapped to -extension_bbox -no_left -no_right -no_bottom -no_top -ring -voltage_area -prefix are not supported, use -io_guides instead
n/a
No equivalent command No equivale nt command
insert_spare_cell s
add_spare_cells
insert_stdcell _fill er
create_stdcell_fill ers
Closest match IC Compile r II does not have -tie, -skip_legal IC Compiler II has -boundary, -voltage_areas Similar but notexactly same Supported options are different: -cell_without_metal is mapped to -lib_cells, no distinction between with metal and without metal -cell_without_metal_prefix is mapped to -prefix, no distinction between with metal and without metal -cell_with_metal is mapped to -lib_cells, no distinction between with metal and without metal -vt_filler is not supported -vt_filler_prefix is not supported -randomize is not supported -plan_group is not required -dont_respect_hard_placement_blockage is not supported -dont_respect_soft_placement_blockage is on-by-default -ignore_hard_placement_blo ckage is not supported -ignore_soft_placement_blockage is on-by-default -between_std_cells_only is not supported -respect_overlap is not supported -respect_keepout is on-by-default; to disable, set the place.legalize.ignore_keepout_margins_against_filler application option to true -avoid_layers is not supported -connect_to_power is supported by running connect_pg_net after filler insertion -connect_to_ground is supported by running connect_pg_net after filler insertion -pin_net is supported by running connect_pg_net after filler insertion -check_only is not supported, use check_legality instead -restore_filler_snapshot is not supported -leakage_power is not supported -metal_filler_coverage_area is not supported
insert_tap_cell s_by_rules
create_tap_cell s
insert_well_ fil ler insert_zrt_diodes insert_zrt_redundant_vias i nt er p is_double_patterning_enabled is_false is_true is_zrt_routed_design join l ap pe nd l as si gn
create_diodes add_redundant_vias i nt er p is_false is_true n/a join l ap pe nd l as si gn
Not applicable Not required
Similar but not exactly same Supported optio ns are dif ferent: -tap_cell_insertion is supported by default -tap_distance_based is supported by default -move is supported by default -freeze is not supported -tap_master is mapped to -lib_cell -tap_distance_limit is mapped to -distance -drc_spacing_check -drc_spacing_based -tap_layer -tap_spacing_design_rule -no_tap_cells_under_metal_layer -n_well_layer -p_well_layer -contact_layer p_diffusion_layer -n_diffusion_layer -p_implant_layer -n_implant_layer is not supported -tap_filler_name_identifier is not supported -ignore_hard_blockage is not supported -ignore_soft_blockage is supported by default -respect_keepout is supported by default; if user wanted to disable, set application option place.legaliz e.ignore_keepout_margins_a gainst_filler to true -ignore_double_back_sharing is not supported -connect_to_power_net -connect_to_ground_net is supported by running connect_pg_nets after insertion -voltage_area same option supported No equivale nt command 1-1 Mapping 1-1 Mapping 1 -1 M ap pi ng No equivalent command 1-1 Mapping 1-1 Mapping No equivalent command 1-1 Mapping 1 -1 M ap pi ng 1 -1 M ap pi ng
Not required Options match IC Compiler 1-1 Options match IC Compiler 1-1 O pt io ns m at ch I C C om pi le r 1 -1 Options match IC Compiler 1-1 Options match IC Compiler 1-1 Not applicable Options match IC Compiler 1-1 O pt io ns m at ch I C C om pi le r 1 -1 O pt io ns m at ch I C C om pi le r 1 -1
IC Compiler
IC Compiler II
Category
legali ze_fp_placement
legali ze_placement
Similar but notexactly same Improved usage in IC Compiler II with the -post_route option
lega liz e_pla cem ent legaliz e_rp_pla cement
lega liz e_pla cem ent legaliz e_rp_groups
1 -1 Ma pping Similar but not exactly same Addit ional option
lindex link
No equivalent command No equivale nt command Not applicable 1-1 Mapping Not applicable Similar but not exactly same Not applicable
lib2saif license_users lindex link
Comments
link_physical_li brary l ins er t li st list_attributes list_dont_touch_types list_drc_error_types
get_drc_error_type s
list_fil es list_floorplan_data
list_attribute s
Not supported O pt io ns m at ch I C C om pi le r 1 -1 O pt ions ma tc hI CC ompiler 1- 1 Options match IC Compiler 1-1 Not applicable list_drc_error_ty pes command is not supported get_drc_error_types is supported No equivale nt command Not applicable Similar but not exactly same None of the options are supported; use the improved feature instead
list_instances list_lib s list_li censes l is t_m w_ ce ls
lis t_li censes l is t_ bl oc ks
No equivale nt command No equivale nt command 1-1 Mapping S im il ar b ut n ot e xa ct ly s am e
list_partit ion_data
lis t_attribute
Similar but not exactly same None of the options are supported; use the improved feature instead
l len gt h lminus load load_fp_rail _map
l le ng th lminus set_constrain t_mapping_file
1 -1 M ap pi ng 1-1 Mapping No equivale nt command Simil ar but not exactly same
load_of
get_attribute lib_pin pin_capacitance Similar but not exactly same Not applicable
l oa d_ upf l ra ng e l re pe at l re pl ac e l re ve rs e ls l se ar ch ls et ls ort magnet_placement
l oa d_ up f l ra ng e l re pea t l re pl ac e l re ve rs e ls l se ar ch ls et ls or t magnet_placement
m an map_freeze_silic on map_isolation_cell map_level_shifter_cell map_power_switch map_retention_cell map_unit _til es mark_cloc k_tree
map_isolation_cell map_level_shifter_cell map_power_switch map_retention_cell
mem merge_clo ck_gates
mem merge_clo ck_gates
1-1 Mapping Options match IC Compiler 1-1 Similar but notexactly same Does not support-verboseand-preview options
merge_fli p_chip_nets merge_fp_hie rarchy
group_cells/ungroup_cells
No equivale nt command Not required Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
l in ser t lis t list_attribute s
m an
mark_cloc k_trees
No equivale nt command 1 -1 M ap pi ng 1 -1 Ma pping 1-1 Mapping No equivale nt command Closest match
O pt io ns m at ch I C C om pi le r 1 -1 Options match IC Compiler 1-1 Not applicable Noneof the optio ns are supported; use the improved feature instead
1 -1 M ap pi ng 1 -1 M ap pi ng 1 -1 M ap pi ng 1 -1 M ap pi ng 1 -1 M ap pi ng 1 -1 Ma pping 1 -1 M ap pi ng 1 -1 Ma pping 1 -1 Ma pping Closest match
I C C om pi le rI I i s m is si ng o pt io ns - si mul at io n_o nl y , - st ri ct _c he ck , a nd - sup pl em en ta l, b ut t hey a re n ot n ee de d. O pt io ns m at ch I C C om pi le r 1 -1 O pt io ns m at ch I C C om pi le r 1 -1 O pt io ns m at ch I C C om pi le r 1 -1 O pt io ns m at ch I C C om pi le r 1 -1 O pt ions ma tc hI CC ompiler 1- 1 O pt io ns m at ch I C C om pi le r 1 -1 O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 Missing the following options: -hierarchy_mode -avoid_soft_blockage -exclude_buffers -exclude_cells -stop_points -align 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 No equivale nt command Not applicable 1-1 Mapping UPF command 1-1 Mapping UPF command 1-1 Mapping UPF command 1-1 Mapping UPF command No equivale nt command Not applicable Similar but not exactly same Does not support -routing_rule, -use_default_routing_for_s inks, -layer_list, -routing_rule_for_sinks , -use_leaf_routing_rule_for_s inks, layer_list_for_sinks, -seq_freeze, -fix_sinks, -clock_nets, -ideal_net.
merge_net_shapes merge_saif modif y_rp_groups
merge_objects
modif y_rp_groups
Closest match No equivalent command 1-1 Mapping
move_mw_cel_origin
move_block_origin
Similar but not exactly same
move_objects
Not supported Not supported Options match IC Compile r 1-1 - al l_ vi ew s, - al l_ ve rs io ns , a nd - so rt a re n ot s up po rt ed
-child not supported Changed setting: -add_rows_at is mapped to -add_rows -add_columns_at is mappedto -add_co lumns -remove_rows_a t mapped to -remove_rows -remove_columns_at is mapped to -remove_columns -flip_row remains the same -flip_column remains the same -swap_rows remains the same -swap_columns remains the same -free_placement is not supported -number is not supported Options match IC Compile r 1-1; IC Compiler operates on CEL view, while IC Compiler II on block -delta vector replacedby -delta point -from, supported -to, supported -x, supported -y, supported -keep_placement, not supported -keep_pad_to_core_dist ance, not supported -ignore_fixed, not supported Not applicable Not needed O pt io ns m at ch I C C om pi le r 1 -1 O pt ions ma tc hI CC ompiler 1- 1 -lib rary: library canbe specif ied together with block name in the lib:block format in IC Compiler II -version: not applicable -readonly is mapped to -read -not_as_current is not supported -sync_port_name is not supported -readonly is mapped to -read -write_ref is mapped to -ref_libs_for_edit Runsbothclock treesynthesis and clock treeoptim ization Various options are removed and not required -postroute option is added for postroute clock tree optimization -premesh, -postmesh, -mesh_nets are supported through the multisource clock tree synthesis commands
move_objects
Similar but not exactly same
move_pin s_on_edge name_format n am es pa ce o pen open_mw_cel
n am es pa ce o pen open_block
No equivale nt command No equivale nt command 1 -1 M ap pi ng 1 -1 Ma pping Similar but notexactly same
open_mw_lib
open_lib
Similar but not exac tly same
optim ize_clock_tree
synthesize_clock_trees
Closest match
optimize_dft optimize_flip_chip_route
optimize_dft optimize_rdl_routes
optimiz e_fp_timing optim ize_power_swit ch optim ize_pre_cts_power
estimate_tim ing
1-1 Mapping Similar but not exactly same -layer technology_layer_name has no change -change_route_type user_enter | signal_route not supported -nets nets has no change -nets_in_file nets file has no change -split_net not supported Closest match None of theoptions aresupported; usethe improvedfeature instead No equivale nt command Not needed No equivale nt command Not required
IC Compiler
IC Compiler II
Category
optimize_rdl_route
optimize_rdl_routes
optimize_wire_via optimize_zrt_wire_via order_rp_groups pack_fp_macro_in_area p ac ka ge pa rse_pro c_a rgument s pid place_eco_cells place_fli p_chip_array
n/a optimize_routes set_macro_constraints p ac ka ge pa rs e_pr oc _ar gument s pid place_eco_cells create_bump_array
place_fli p_chip_driv ers place_fli p_chip_ring pla ce_fp_pins
pla ce_pin s
Similar but not exactly same -nets collection_of_nets has no change -nets_in_file nets_file has no change -terminal_names collection_of_terminals not supported -layer collection_of_layers has no change -reserve_power_resources bool has no change No equivalent command Not applicable 1-1 Mapping Options match IC Compiler 1-1 No equivalent command Closest match Noneof the optio ns are supported; use the improved feature instead 1 -1 M ap pi ng O pt io ns m at ch I C C om pi le r 1 -1 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 1-1 Mapping Similar but notexactly same -physic al_ lib_cell is mapped to -lib_cell -start_point is mapped to -origin -number is mapped to -repeat -delta is mapped to -bbox -repeat {i j} is mapped to -repeat { column count row count} -orientation has no change -cell_origin { lo wer_left | center } is mapped to -origin {horizontal_coo rdinate vertical_coordina te} No equivale nt command Not required No equivale nt command Not required Similar but not exactly same None of the options are supported; use the improved feature instead
place_freeze_sili con
place_freeze_silicon
Closest match
place_opt
place_opt
Closest match
place_opt_feasibilit y prepare_mw_lib preroute_instances preroute_standard_cells preview print_message_info p ri nt _s up pr es se d_ me ss ag es printenv printvar pr oc proc_args proc_body process_particle_probability_file propagate_all_clocks propagate_constraints propagate_pin_mask_constrain t propagate_pin _mask_to_via _metal propagate_switching_activity
n/a create_pg_macro_conn_pattern; set_pg_strategy; compile_pg create_pg_std_cell_conn_pattern; set_pg_strategy; compile_pg print_message_info p ri nt _s up pr es se d_ me ss ag es printenv printvar pro c proc_args proc_body
propagate_pin_mask_constraint propagate_switching_activity
Comments
option-use_lib_cell s is now -cells in IC Compiler II No -trial_map_spare_cells -map_spare_cells_only or -write_map_file options in IC Compiler II IC Compiler does not have -lib_cells_for_filler_recovery IC Compiler II place_opt has five stages using -from and -to control. Most IC Compiler place_opt options are mapped to application options or new stage control in IC Compiler II. For example, -optimize_dft is on-by-default -congestion is mapped to the place_opt.congestion.effor t application option with a value of medium or high -optimize_icgs is mapped to the place_opt.flow. optimize_icgs application option with a value of true -power is mapped to the opt.power.mode application option with a value of total -spg is mapped to the place_opt.flow.do_spg application option with a value of true
No equivalent command No equivalent command Not applicable Similar but not exactly same None of the options are supported; use the improved feature instead Similar but not exactly same None of the options are supported; use the improved feature instead No equivale nt command 1-1 Mapping 1 -1 M ap pi ng 1-1 Mapping 1-1 Mapping 1 -1 Ma pping 1-1 Mapping 1-1 Mapping No equivalent command No equivalent command No equivalent command
Not applicable Options match IC Compiler 1-1 O pt io ns m at ch I C C om pi le r 1 -1 Options match IC Compiler 1-1 Options match IC Compiler 1-1 O pt ions ma tc hI CC ompiler 1- 1 Options match IC Compiler 1-1 Options match IC Compiler 1-1 Not applicable Command used in CTS stage. IC CompilerII does it automatically User MUST use flat SDC and use split_constraints flow to get block level SDC. Bottom-up SDC flow is not recommended in IC Compiler II.
1-1 Mapping Improved usage No equivale nt command Not required Similar but not exactly same There are command UI differences between IC Compilerand IC Compiler II
push_down_fp_objects
push_down_obje cts
Similar but notexactly same Simplifie d usage model, -cells and obje ct_collection optionsupported
push_flip_chip_route
push_rdl_routes
push_rdl_route
push_rdl_routes
push_up_fp_objects
pop_up_objects
Similar but not exactly same -nets collection_of_nets has no change -nets_in_file nets_file has no change -terminal_names collection_of_terminals not supported -layer collection_of_layers has no change -mode (net|neighbor) has no change -direction (up|down|left|right) has no change -sweep_range int 1-40 has no change -bounding_box {{llx lly} {urx ury}} has no change Similar but not exactly same -nets collection_of_nets has no change -nets_in_file nets_file has no change -terminal_names collection_of_terminals not supported -layer collection_of_layers has no change -mode (net|neighbor) has no change -direction (up|down|left|right) has no change -sweep_range int 1-40 has no change -bounding_box {{llx lly} {urx ury}} has no change Similar but notexactly same Simplifie d usage model, -cells, -blocks andobject_colle ctionsupported
put s pwd query_cell_instances
puts pwd
query_cell_mapped query_map_power_switch query_net_ports query_objects query_on_demand_netlis t query_port_net
query_objects
query_port_state query_power_switch query_pst query_pst_state query_qor_snapshot quit quit ! r ea d read_aif read_antenna_violation read_aocvm read_cell_expansion read_ddc r ea d_def
quit quit ! r ea d read_aif read_ocvm
r ea d_def
1 -1 Ma pping 1 -1 Ma pping To be implemented - No target yet To be implemented - No target yet To be implemented - No target yet To be implemented - No target yet 1-1 Mapping No equivale nt command To be implemented - No target yet To be implemented - No target yet To be implemented - No target yet To be implemented - No target yet To be implemented - No target yet No equivale nt command 1 -1 Ma pping 1 -1 Ma pping 1 -1 Ma pping 1-1 Mapping No equivale nt command Similar but not exactly same
O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 This is a UPF query command and is not supported. Use get_cells -filter ref_block==$ref_name This is a UPF query command and is not supported. Use get_attributes $cell ref_name This is a UPF query command and is not supported Use save_upf and look for map_power_switch statements This is a UPF query command and is not supported Options match IC Compiler 1-1 Not required This is a UPF query command and is not supported This is a UPF query command and is not supported Use save_upf and look for add_port_state commands This is a UPF query command and is not supported Use save_upf and look for create_power_switch statements This is a UPF query command and is not supported Use save_upf and look for create_pst commands This is a UPF query command and is not supported Use save_upf and look for add_pst_state commands Not applicable O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1
Not applicable ocvm_files option matches between IC Compiler and IC Compiler II, but IC Compilerhas -min , -max option which is not supported in IC CompilerII and IC Compiler II has -corner option which is not in IC Compiler. No equivalent command Not required No equivale nt command Not supported S imi lar but no tex ac tly s am e - enfo rc e_s ca ling is no ts uppo rt ed -check_only is mapped to -syntax_only -turn_via_to_inst and -inexactly_matched_via_to_inst: not applicable -lef: use read_tech_lef -snet_no_shape_as_user_enter and -snet_no_shape_as_detail_route: not applicable -preserve_wire_ends is not supported
IC Compiler
IC Compiler II
Category
Comments
read_drc_error_file
open_drc_error_data
Closest match
read_drc_error_file , command not supported, open_drc_error_data, supported Not supported Noneof the optio ns are supported; use the improved feature instead Not applicable
read_fil e read_flo orplan read_lib read_parasitics read_partition read_pin _pad_physical_constrain ts read_power_pla n_regio ns read_rail_maps read_saif
source writ e_floorplan n/a read_parasitics set_signal_io_constraints
No equivale nt command Closest match No equivalent command 1-1 Mapping No equivale nt command Not required Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
read_tdf_ports read_verilog
read_verilog
rebuild_mw_lib recompute_fit _bbox recover_tie_connection redirect redo
redirect redo
No equivale nt command Not required No equivalent command Similar but not exactly same There are command UI differences between IC Compilerand IC Compiler II PrimeTime PX consistency 1-1 Mapping To be implemented - No target yet Closest match In IC Compiler II, -tluplus is been replaced-itf_em so -tluplus is no longer needed. Similar but not exactly same Check man page for details Note: commands are supported only in icc2_lm_shell No equivale nt command Not required Similar but notexactly same -dir ty_netlist and-all ow_black_box arenot supported; usethe design mismatch manager instead -verbose and -bus_direction_for_undefined_cell are not supported -keep_module is not supported -cell is mapped to -design -top: named same in IC Compiler II No equivale nt command Not applicable No equivale nt command Not supported No equivale nt command Not supported 1-1 Mapping Options match IC Compiler 1-1 Similar but not exactly same -mark is mapped to -marker
refine_placement r eg ex p r eg su b remove_placement_spacing_rules
No equivale nt command No equivale nt command 1-1 Mapping 1 -1 M ap pi ng 1 -1 M ap pi ng Closest match
remove_annotated_delay
remove_annotated_delay
To be implemented - No target yet Similar but not exactly same Infastructure difference due to options such as -mode or -corner
remove_annotated_transition
remove_annotated_transition
Similar but not exactly same Infastructure difference due to options such as -mode or -corner
remove_antenna_rules remove_aocvm
remove_antenna_rules remove_ocvm
To be implemented - No target yet 1-1 Mapping Added new -name option to specif y the name of the rule Similar but not exactly same Infastructure dif ference due to options such as -mode or -corner
remove_attachment_file
remove_attachments
remove_attribute
remove_attributes
Similar but not exactly same New in K-2015.06; -check_only is not supported -all and -design do not apply due to different usage model; in IC Compiler II, you must specify the attachment file name Similar but not exactly same -class is not supported
remove_banking_guidance_strategy remove_base_arrays remove_bounds
remove_bounds
No equivalent command No longer needed No equivale nt command Not supported Similar but notexactly same -name is not supported. Users canspecify bound without this option
remove_buffer
remove_buffers
remove_buffer_tree
remove_buffer_trees
Similar but not exactly same -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option -to and -level are not supported as of K-2015.06 Similar but not exactly same
remove_bus remove_case_analysis
remove_case_analysis
No equivale nt command Not supported Similar but notexactly same -all is not supported
remove_cell
remove_cells
Similar but not exactly same -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option
remove_cell_degrada tion remove_cell_ sit es remove_cell_vt_type
No equivalent command No equivale nt command Not supported set_attribute to remove the attribute Similar but not exactly same
remove_checkpoin t_designs remove_clock remove_clock_cell_spacing
remove_clocks remove_clock_cell_spacings
read_saif
read_sdc read_sdf
read_sdc
read_signal_em_constraints read_stream
read_signal_em_constraints read_gds read_oasis
reduce_fp_rail_stacked_via refin e_fp_macro_channels refine_placement r eg ex p r eg su b remove_all_spacing_rules
remove_annotat ed_check
remove_annotat ions
remove_clock_gates
Not required Not required O pt io ns m at ch I C C om pi le r 1 -1 O pt io ns m at ch I C C om pi le r 1 -1 name change
No equivale nt command Not supported 1-1 Mapping Similar but not exactly same Does not support-clocks and -all options No equivale nt command
Not required
remove_clock_gatin g_check
remove_clock_gatin g_check
Simil ar but not exactly same IC Compiler II command align withPrimeTime
remove_clo ck_groups
remove_clo ck_groups
Similar but notexactly same IC Compiler II command alig n with Prim eTime
remove_clock_latency
remove_clock_latency
remove_clock_mesh
create_clock_straps
r emo ve_c lo ck _sense remove_clock_transitio n
r emo ve_c loc k_s ense remove_clock_transitio n
Simil ar but not exactly same Optio ns not matching between IC Compiler and IC Compiler II . (IC Compiler has -rise, -fall , -min, -max, -late, and -early optio ns whic h are missing in IC Compiler II)(IC Compiler II has -corner , -mode ,-scenario) Similar but not exactly same Simplif iedusage model create_clock_straps -clear 1 -1 Ma pping Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner
remove_clock_tree
remove_clock_trees
remove_clock_tree_exceptions
remove_clock_tree_options
remove_clock_balance_points set_dont_touch set_size_only set_dont_touch_network remove_clock_tree_options
Similar but not exactly same More options availablefor improved usage
remove_clock_uncertainty
remove_clock_uncertain ty
Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner
remove_congestio n_options remove_cts_scenario remove_dangling_wires remove_data_check remove_design
Similar but not exactly same Does not support-honor_seq_freezeand -high_fanout_net -honor_dont_touch is the default behavior Similar but not exactly same Improved usage
No equivalent command No equivale nt command
remove_data_check
Not required
No equivale nt command Not applicable Similar but not exactly same Infastructure difference due to options such as -mode or -corner
remove_diode
remove_modules Closest match Different usage; to remove all the designs and libraries, use close_lib -force; to remove specific design, use remove_modules close_lib set_attribute current_block boundary Closest match Different usage {} remove_cell s Similar but not exactly same nets, -all_clock_nets and dangling_wires options are removed in IC Compiler II
remove_disable_clock_gating_check
remove_disable_clock_gating_check 1-1 Mapping
remove_die_area
IC Compiler
IC Compiler II
Category
remove_disable _timing
remove_disable_timing
Similar but notexactly same -all _loop_breaking is mapped to -loop_break
remove_distributed_hosts remove_distributed_route remove_dont_touch_placement
No equivalent command Simplified usage model n/a No equivalent command Not applicable set_attribute to remove the attribute Similar but not exactly same
remove_drc_error remove_drivin g_cell
remove_drc_errors remove_drivin g_cell
remove_edit_groups
remove_edit _groups
remove_fanout_lo ad remove_fast_pba_analysis remove_fil ler_with_viola tio n
No equivalent command No equivalent command remove_stdcell _fill ers_with_violation 1-1 Mapping
remove_fli p_chip_route
remove_routes -rdl
Similar but notexactly same Simplifie d usage model, -rdl option
remove_floating_pg remove_fp_block_shielding
remove_keepout_margins
No equivale nt command Not required Similar but notexactly same Not required
remove_fp_feedthroughs
remove_feedthroughs
remove_fp_pin_constraints
remove_block_pin_constraints
remove_fp_pin_overlaps remove_fp_plan_group_padding remove_fp_rail_stacked_via remove_fp_rail_voltage_area_constraints
remove_via_mappings
Comments
1-1 Mapping Simil ar but not exactly same Optio ns not matching (IC Compiler II has -corner , -mode ,-scenario , -clock ,-clock_fall, -min, -max,-ris e, -fall) , IC Compiler II has better alignment with PrimeTime Similar but notexactly same -quiet is not supported in IC Compiler II
Target for future release Options match IC Compiler 1-1 IC Compiler II has two new options: -check_between_fixed_objects, -boundary
Simil ar but not exactly same -include buffered and/or originalis mapped to -include_origin al_feedthroughs -nets has no change -blocks is mapped to -cells -voltage_areas is not supported as supported by default Similar but not exactly same None of the options are supported; use the improvedfeature instead No equivale nt command No equivalent command Closest match No equivalent command
Not required Not required Noneof the optio ns are supported; use the improved feature instead Not required
remove_fp_relative_location remove_fp_virtual_pad
remove_virtual_ pads
remove_fp_voltage_area_constraints r emo ve_f ro m_c ollec tion remove_from_rp_group
r emo ve_fr om_c ollec tio n remove_from_rp_group
remove_generated_clo ck remove_host_options remove_id eal_la tency
remove_generated_clo cks remove_host_options remove_id eal_la tency
1 -1 Mapping 1-1 Mapping Options match IC Compiler 1-1 Simil ar but not exactly same Optio ns do not match; IC Compiler has more optio ns (-ris e, -fall, -min, and -max) thanIC Compiler II
remove_ideal_net r em ov e_ id ea l_n et wo rk remove_id eal_transit ion
r em ov e_ id ea l_ ne tw or k remove_id eal_transit ion
No equivalent command 1 -1 M ap pi ng Simil ar but not exactly same
remove_ig nore_cell_ tim ing r emo ve_ignor ed_l ay er s remove_input_delay
r emo ve_ignor ed_la yer s remove_input_delay
No equivale nt command Not planned 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
remove_io_antenna_propertie s
remove_attrib utes
Similar but notexactly same similar but notexactly the same
remove_is olate_ports remove_isolatio n_cell remove_keepout_margin
remove_cells remove_keepout_margins
No equivale nt command Not needed Closest match remove_cells [get_cells -physical_cont ext -filter (is_isolation)] Similar but not exactly same Different behavior
remove_left_right_filler_rule remove_level_shifter s remove_libcell_subset remove_li cense remove_li nk_library_subset remove_map_power_switch remove_mim_property remove_min_pulse_width remove_mw_cel r em ov e_ ne t remove_net_routing remove_net_routing_corrid or remove_net_routing_lay er_constraints remove_net_search_pattern remove_net_shape
remove_cells
remove_blocks
No equivale nt command Not required No equivalent command Target for future release Similar but notexactly same different usage model, most options arenot applicable to IC Compiler II
remove_li censes
r em ov e_ ne ts remove_routes remove_from_routing_corridor set_routing_rule -clear
remove_shapes
remove_noise_lib_pin remove_noise_margin
remove_partit ion remove_pg_network remove_physic al_bus remove_pi_model
'- rise, -fall ' optio ns are missing in IC Compiler II
No equivalent command Closest match To be implemented - No target yet 1-1 Mapping No equivale nt command Similar but not exactly same
remove_cells
remove_net_timin g_spacing remove_noise_immunity _curve
remove_objects remove_on_demand_netlist_data remove_output_delay
No equivale nt command Not required Similar but not exactly same -nets strin g has no change -layer string has no change -point {x y} is mapped to -coordinate -all ha s no change No equivalent command Not required 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 Similar but not exactly same Different options: -leaf is mapped to -cells -hierarchy is mapped to -rp_group -keepout is mapped to -blockage -instance is dropped
remove_objects remove_output_delay explore_logic_hierarchy
remove_pin_guides
1 -1 M ap pi ng 1-1 Mapping 1-1 Mapping
Not required remove_cells get_cells -physical_co ntext -filter (is_level_shifter) Not requested yet Options match IC Compile r 1-1 Not applicable get_cells -physical_context -filter (is_level_shifter)
O pt io ns m at ch I C C om pi le r 1 -1 IC Compiler II commandcan not only remove route by nets, but also by route type Options match IC Compile r 1-1
Similar but not exactly same -clear removes not only minimum and maximum routing layer constraints, but also nondefault routing rule No equivalent command No net pattern supportin IC CompilerII Similar but not exactly same All options have equiv alent in IC Compile r II No equivale nt command To be implemented - No target yet To be implemented - No target yet To be implemented - No target yet 1-1 Mapping No equivalent command Simil ar but not exactly same
not appli cable Not implemented yet Not implemented yet Not implemented yet Options match IC Compiler 1-1 Not required Infastructuredifference due to optio ns such as -mode or -corner
Closest match No equivale nt command No equivale nt command To be implemented - No target yet 1-1 Mapping No equivale nt command Closest match
Noneof the optio ns are supported; use the improved feature instead Not required Not supported
Not applicable No power net checkingconcept Options match IC Compile r 1-1 Need t oupda te U PF and res et a nd relo ad UP F
remove_pin_guides remove_pin_name_synonym remove_pin_pad_physical_ constrain ts
remove_block_pin_constrain ts
remove_placement remove_placement_blockage
reset_placement remove_placement_blockages
Options match IC Compiler 1-1 Not applicable -cell optio ns has no change -pin_only is mapped to -self option -pad_only is mapped to -self option -chiplevel_pad_only is mapped to -self option object_list argument is mapped to -cells option 1-1 Mapping name change Similar but not exactly same -name is not supported. Users can specify blockage without this option
remove_plan_groups remove_pnet_options remove_port r emo ve_power _do mai n
remove_ports r eset_upf
No equivale nt command No equivalent command 1-1 Mapping Cl os es t ma tc h
IC Compiler
IC Compiler II
remove_power_plan_regions
remove_pg_regio ns
Category
Comments
Similar but not exactly same -all option has no change
remove_power_plan_strategy
remove_pg_strategies
Similar but not exactly same -all option has no change
remove_power_ring_strategy
remove_pg_strategies
Similar but not exactly same -all option has no change
remove_power_switc h remove_preferred_routing_direction
remove_cells set_attribute
Closest match
remove_propagated_clock
remove_propagated_clocks
Similar but not exactly same Missing-all option in IC Compiler II. Man page indicatesto use 'all_clocks'
Similar but not exactly same
remove_qor_snapshot
No equivale nt command
remove_rail_integrit y_layout_chec k_strategy
No equivalent command
remove_cells -physical_co ntext -filter (is_power_switch) Must set routing_direction to either vertical or horizontal
Not applicable
remove_rail_maps remove_reserved_placement_area remove_route_by_type
remove_routes
No equivale nt command No equivalent command
remove_route_guide
remove_routin g_guid es
Not required Not required signal_detail_route = detail_route + net_types signal signal_global_route = global_route + net_types signal signal_user = user_route + net_types signal clock_ring = ring + net_types clock clock_strap = stripe + net_types clock clock_tie_off_signal_route = detail_route + net_types clock clock_tie_off_global_route = global_route + net_types clock clock_user = user_route + net_types clock pg_ring = ring + net_types {power ground} Similar but not exactly same pg_strap = stripe + net_types {power ground} pg_tie_off_detail_route = detail_route + net_types {power ground} pg_tie_off_global_route = global_route + net_types {power ground} pg_user = user_route + net_types {power ground} pg_std_cell_pin_conn = lib_cell_pin_connect + net_types {power ground} pg_macro_io_pin_conn = macro_pin_connect + net_types {power ground} keep_pg_pins_at_boundary = keep_pg_pins_at_boundary shield = shield_route keep_frozen_net = keep_frozen_nets Simil ar but not exactly same -name is not supported; you can specify the routing guid e without this optio n
remove_routing_blockage r emo ve_ro uting_c orr idor
remove_routing_blockages r emo ve_ro uting_c orr idor s
1-1 Mapping Options match IC Compiler 1-1 S imi lar but no tex ac tly s am e S ee m an pa ge
remove_routing_corridor
remove_routing_corridors
Similar but not exactly same -corridors: not needed. Command accepts routing corridor list directly
r emo ve_ro uting_r ul es remove_row_type remove_rp_group_options
r emo ve_ro uting_rules remove_rp_group_options
1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 No equivale nt command Not applicable Similar but not exactly same Consolidated to set_rp_group_options -ignore is not supported -x_offset is mapped to -anchor_corner -y_offset is mapped to -anchor_corner -allow_non_rp_cells is mapped to the place.rp.allow_non_rp_cells application option -cell_orient_opt is not supported -auto_blocka ge is dropped -disable_buffering is not supported -ignore_rows is not supported -max_rp_width is not supported -max_rp_height is not supported
remove_rp_groups
remove_rp_groups
remove_scalin g_lib_group remove_scan_def remove_scenario
remove_scan_def remove_scenarios
remove_sdc remove_self_gatin g_logic
Similar but not exactly same Option name change: -hierarchy is mapped to -hierarchical; other options remain the same No equivale nt command Not required 1-1 Mapping Similar but not exactly same IC CompilerII allows you to specify a list of scenariosinstead of one scenario only in IC Compiler No equivalent command No equivale nt command
remove_site_row remove_skew_group r emo ve_st dc ell_fill er remove_steady_s tate_resistance
remove_site_rows remove_clo ck_skew_groups r emo ve_c ells
r emo ve_supply _net r emo ve_supply _po rt remove_target_li brary_subset
r eset_upf r eset_upf remove_target_lib rary_subset
remove_termin al remove_text
remove_termin als remove_shapes
remove_tie_cells remove_track remove_unconnected_ports remove_user_budgeted_delays remove_user_shape r em ov e_ vi a remove_via_master remove_via_regio n remove_voltage_area remove_voltage_area_routing_rule remove_vt_fil ler_rule remove_well_ fill er remove_xtalk_pr op
remove_cells remove_tracks
Not required
1-1 Mapping 1-1 Mapping Cl os es t ma tc h To be implemented - No target yet Cl os es t ma tc h Cl os es t ma tc h Simil ar but not exactly same
Options match IC Compile r 1-1
Not required Options match IC Compile r 1-1 Not supported Not required Options match IC Compiler 1-1 O pt io ns m at ch I C C om pi le r 1 -1 Not implemented yet
remove_zrt_filler_with_violation
Closest match 1-1 Mapping No equivale nt command No equivale nt command remove_shapes 1-1 Mapping r em ov e_ vi as 1 -1 M ap pi ng No equivale nt command remove_via_regio n No equivalent command remove_volt age_areas 1-1 Mapping No equivalent command No equivale nt command No equivale nt command To be implemented - No target yet remove_stdcell_fillers_with_violation Similar but not exactly same
r em ov e_ zr t_ re du nd ant _s ha pe s rename r ena me_mw_c el
r em ov e_ re du nda nt _s ha pe s rename m ov e_blo ck
No t required Not implemented yet
Need t oupda te U PF and res et a nd relo ad UP F Need t oupda te U PF and res et a nd relo ad UP F New in K-2015.06-SP1 -object_list is mapped to -objects 1-1 Mapping Options match IC Compile r 1-1 Similar but not exactly same -all is not supported. Use text colle ctio n to remove
Options match IC Compile r 1-1 Will be supported in a future release Not required Not required Not implemented yet Supported options are different: -name -check_only are mapped to the same option -check_between_fixed_objects -boundary are new
s et _a pp_o pti ons
1 -1 M ap pi ng O pt io ns m at ch I C C om pi le r 1 -1 1-1 Mapping Options match IC Compiler 1-1 S imi lar but no tex ac tly s am e - all_v ers ion: no ta pplic able old_name is mapped to -from_block new_name is mapped to -to_block Similar but not exac tly same -from is mapped to -from_lib -to is mapped to -to_lib Closest match IC Compile r II does not have -view, -keep_connection IC Compiler II has -block, -pin_rebind, -pin_verbose No equivalent command No equivalent command Not applicable S imi lar but no tex ac tly s am e
report_annotated_check report_annotated_delay report_annotated_transition report_antenna_ratio
check_routes -antenna
No equivalent command No equivalent command No equivalent command Similar but notexactly same
Target for future release Target for future release Target for future release Similar but not exactly the same
report_antenna_rule s
report_antenna_rule s
1-1 Mapping
Added new -mode option
rename_mw_lib
move_lib
repla ce_cell_reference
set_reference
replace_power_switch report_access_preference_route_guide r epor t_a hf s_o pt io ns
IC Compiler
IC Compiler II
Category
report_aocvm
report_ocvm
Similar but not exactly same Infastructure difference due to options such as -mode or -corner
report_app_var report_area
report_app_var report_design -netlis t
1-1 Mapping Closest match
r ep or t_ ar ea _r ec ov er y_ opt io ns
s et _a pp_ op ti on s
S im il ar b ut n ot e xa ct ly s am e
report_attribute
report_attributes
Similar but not exactly same -quiet is not supported.
report_banking_guidance_strategy r epor t_blo ck _a bst ra ct ion report_bounds
r epo rt_a bst ra ct s report_bounds
report_buffer_tree
report_buffer_trees
No equivalent command No longer needed 1 -1 Ma pping Similar but not exactly same -all: this is default behavior in IC Compiler II -name: not applicable; you can specify the bound name / collection directly in IC Compiler II Similar but not exactly same
report_buffer_tree_qor report_bus r ep or t_ ca se _a na ly si s report_cell
r epo rt _c as e_ an al ys is report_cells
No equivalent command No equivale nt command Not supported 1 -1 M ap pi ng Similar but not exactly same -physical and -only_physical are not supported
report_cell _em
report_cell _em
report_cell_mode report_cell_ physical r ep or t_ ce l _v t_ ty pe
report_cell_modes
report_channel_capacity report_check_library_options report_checkpoint_desig ns report_clock
r epo rt _a tt ri but es
n/a report_clocks
Comments
Options match IC Compiler 1-1 -physic al: not needed -hierarchy is not supported -designware: not applicable
Closest match In IC Compiler II, -scenario s is not supported yet. In IC Compiler II, -significant_digits and -nosplit are new options. Closest match IC Compiler II has additional options. Reporting of cell modes is modal in IC Compiler II; they are scenario-based in IC Compiler. No equivale nt command Not supported S im il ar b ut n ot e xa ct ly s am e No equivale nt command No equivalent command No equivale nt command Similar but not exactly same
Not required Not applicable Not supported Infastructure difference due to options such as -mode or -corner
Not yet supported
report_clock _cell_spacing report_clock_gatin g
report_clock _cell_spacings
1-1 Mapping No equivale nt command
report_clock _gating_check report_clock_timin g
report_clock _gating_checks report_clock_timing
1-1 Mapping Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner. Some optio ns are different between the tools .
report_clo ck_tree
report_clo ck_qor
Similar but notexactly same Onecommand to report allclock-tree-rela ted metric s Different options and controls for improved usage -premesh and -postmesh are not yet supported No equivalent command Not required
report_clock_qor -type power
Closest match No equivalent command
report_clock_tree_optimization_options report_clock_tree_power report_concurrent_clock_and_data_strategy
report_clock_qor is one command to report all clock tree related metrics including the clock tree power Controls are throughapplication options: ccd.ignore_ports_for_boundary_identification ccd.optimize_boundary_timing ccd.skip_path_groups Use route_global -congestion_map_only
report_congestion report_congestio n_options report_constraint
report_constraints
No equivalent command No equivalent command Similar but not exactly same Infastructure difference due to options such as -mode or -corner. Some options are different between the tools.
report_critic al_area report_crpr
report_crpr
No equivale nt command Not applicable Similar but not exactly same Infastructure dif ference due to options such as -mode or -corner
report_cts_batch_mode
No equivale nt command
report_delay_calculation
report_delay_calculation
report_delay_calculation_options report_delay_estimatio n_options
report_extraction_options
Not required
Closest match
Command options in IC CompilerII related to modes, corners, and scenarios. The command is scenario-based in IC Compiler. IC Compiler II has more options. No equivalent command Not needed in IC Compiler II Similar but notexactly same
report_design report_desig n_lib report_design_mismatch
report_design
r ep or t_ de si gn_ ph ys ic al
r epo rt _d es ig n
report_direct_power_rail _tie report_disable_timing
report_disable _timing
Closest match -physic al is mappedto -all (or use -routing, -library, -netlist, or -floorpla n) No equivale nt command Not applicable Similar but not exactly same -summary: not needed since this is defaultbehavior. -class: not applicable; use -mismatch_type to specify what to report C lo ses t m at ch - de si gn _s et up i s m ap pe dt o - li br ar y -netlist, -floorplan, and -all: options have same names -route is mapped to -routing -utilization: use report_utilization command in IC Compiler II No equivale nt command Not required Similar but notexactly same IC Compiler II accepts object list
report_distributed_hosts
check_host_options
Similar but notexactly same Improved usage, added -host_optio ns and-work_dir in IC Compiler II
report_distributed_route report_dont_touch
n/a report_dont_touch
No equivalent command Not applicable Similar but notexactly same -cla ss is notsupported; youcan specif y a net or cell coll ectio n
report_dont_touch_net r epor t_dr c_err or _ty pe report_droute_options report_eco_history report_eco_physic al_changes report_edit _groups report_em_optio ns r ep or t_ er ro r_ co or di na te s report_extraction_optio ns report_fast_pba_analysis_options report_fille r_placement r ep or t_ fi x_ ho ld _o pt io ns report_flip_chip_bump_attributes report_flip_chip_driver_bump report_flip_chip_flyline_cross report_flip_chip _options report_flo orplan_data report_fp_clo ck_plan_optio ns
report_design_mismatch
report_edit _groups report_app_options em_*
No equivale nt command Cl os es t ma tc h No equivalent command No equivale nt command No equivale nt command 1-1 Mapping Similar but notexactly same
g ui _g et _er ro r_ da ta report_extraction_options
C lo ses t m at ch Similar but notexactly same
s et _a pp_ op ti on s
No equivalent command Target for future release No equivale nt command Not required S im il ar b ut n ot e xa ct ly s am e
gui_repo rt _err or s n/a
writ e_flo orplan
No equivalent command No equivalent command No equivalent command No equivale nt command Closest match No equivale nt command
Not supported Not applicable Not applicable Not applicable Options match IC Compile r 1-1
Not required Not required Not required Not required None of theoptions aresupported; usethe improvedfeature instead Not required
report_fp_feedthroughs
report_feedthroughs
Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
report_fp_macro_array report_fp_macro_optio ns report_fp_pin_constraints
report_macro_constrain ts report_block_pin_constraints
No equivale nt command Not required Closest match Noneof the optio ns are supported; use the improved feature instead Similar but not exactly same None of the options are supported; use the improvedfeature instead
report_fp_placement report_fp_placement_strategy report_fp_rail_constrain ts report_fp_rail_extraction_options report_fp_rail_ strategy report_fp_rail_voltage_area_constraints report_fp_relativ e_location report_fp_shaping_strategy
report_placement report_placement report_pg_strategie s report_pg_strategies report_rp_groups report_shapin g_options
Closest match Closest match Closest match No equivalent command Closest match No equivalent command Closest match Similar but notexactly same
None of theoptions aresupported; usethe improvedfeature instead None of theoptions aresupported; usethe improvedfeature instead Noneof the optio ns are supported; use the improved feature instead Not required None of theoptions aresupported; usethe improvedfeature instead Not required None of theoptions aresupported; usethe improvedfeature instead Improved usage; no options for command
IC Compiler
IC Compiler II
Category
Comments
report_fp_trace_mode_options report_fp_voltage_area_constraints r epor t_f ra m_pro pert y report_groute_options r ep or t_ hi er ar ch y
r epo rt_f ra me_pr oper ties n/a r epo rt _h ie ra rc hy
No equivale nt command No equivalent command 1 -1 Ma pping No equivalent command S im il ar b ut n ot e xa ct ly s am e
Not required Not required O pt ions ma tc hI CC ompiler 1- 1
report_host_options
report_host_options
report_ideal_network r ep or t_ ig no re d_ la ye rs report_in stance_based_routin g_rule report_in ter_clock_delay_options report_in terclock_relatio n
r epo rt _i gn or ed _l ay er s report_clock_settings report_clock_bala nce_groups
N ew i nK -2 01 5. 06 -S P2 : -noleaf is mapped to -no_leaf -full is not supported Similar but not exactly same -target is not supported
To be implemented - No target yet 1 -1 M ap pi ng Closest match 1-1 Mapping No equivale nt command
report_clock_settings is one command that reports all clock treesynthesis settings inclu din g the net-specific routing rule s Not required
report_in ternal_loads report_io _antenna_propertie s
get_attribute
No equivale nt command Not planned Similar but not exactly same Similar but not exactly the same
r epor t_is ol at e_por ts report_isolated_via report_isolation_cell
r epo rt_is ola te_po rts n/a report_power_domain s
1 -1 Ma pping No equivalent command Closest match
report_keepout_margin
report_keepout_margins
report_la tch_lo op_groups report_latency _adjustment_options
Target for future release
report_matching_types
I C C om piler I I do es not ha ve -no split o pt io n Not applicable report_power_domain s includes isolation strategies and related information -domain is mapped to the power_domains argument -port, -strategy, -verbose : No equivalent, but everything should be reported Similar but not exactly same In IC Compiler, object are cells or library cells that have keepout margins For example, report_keepout_margin MY_CEL L In IC Compiler II, objects are keepout margins For example, report_keepout_margin [get_keepout_margins -of MY_CELL] 1-1 Mapping To be implemented - No target yet No equivalent command Not required Closest match report_power_domain s includes level-shifter strategy information -domain is mapped to the power_domains argument -verbose, -nosplit : No equivalent, but everything should be reported Closest match Lots of options come from Library Compile r, which are not appli cable to IC Compile r II To be implemented - No target yet No equivale nt command Not applicable Similar but not exactly same The command in IC Compileraccepts pin or port list while in IC Compiler II, the command accepts the matchingtype list
report_metal_ densit y report_milkyway_version r ep or t_ mi m
r epo rt _m ib s
No equivale nt command Not applicable No equivale nt command Not applicable S im il ar b ut n ot e xa ct ly s am e I mpr ov ed u sa ge ; n o o pt io ns f or c om ma nd
report_min_pulse_width report_mode
report_cell_modes
No equivalent command Target for future release Similar but not exactly same
report_left_right_filler_rule report_level_shifter
report_li b report_lib -power report_li nk_library_subset report_matching_type
report_mpc_macro_array report_mpc_macro_optio ns report_mpc_options report_mpc_pnet_optio ns report_mpc_port_optio ns report_mpc_rectilinear_outline report_mpc_ring_options report_mtcmos_pna_strategy report_multisource_options
report_power_domain s
report_li b
r ep or t_ mw _l ib
No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivalent command No equivale nt command set_pg_strategy Closest match report_multisource_clock_sink_group Similar but not exactly same s r epo rt _r ef _l ib s C lo ses t m at ch
report_name_rules r epor t_na mes
report_name_rules r epo rt_na mes
report_net
report_nets
- un it _r ang ei s n ot s up po rt ed -mw_reference_library: use report_ref_libs mw_lib argument is mapped to -library option of report_ref_libs 1-1 Mapping New in K-2015.06-SP2 S imi lar but no tex ac tly s am e New in K -2 01 5. 06 -S P2 -original, -nosplit, and -dont_touch are not supported Similar but not exactly same Most of the options have no equivalent in IC CompilerII
get_routing_corridors
No equivale nt command To be implemented - No target yet No equivalent command No equivale nt command Similar but not exactly same
report_net_changes report_net_delta_delay report_net_fanout report_net_physic al report_net_routing_corridor
Not required Not required Not required Not required Not required Not required Not required None of theoptions aresupported; usethe improvedfeature instead Simplified usage model
Not applicable Not implemented yet Will be supported in a future release Not supported See man page
report_net_routing_layer_constraints
report_routin g_rules
Similar but notexactly same Seemanpage
report_net_routin g_rule s
report_routing_rule s
Similar but not exactly same See man page
report_net_search_pattern report_net_search_pattern_delay_estimation _options report_net_search_pattern_priority report_noise report_noise_calc ulation report_ocvm report_on_demand_netlis t report_opcond_inference report_operating_conditions report_optimiza tion_created_cells report_optimize_df t_options report_optimize_pre_cts_power_options report_parameter report_path_group report_pg_net report_physic al_bus report_physic al_signoff_optio ns report_pi_model report_pin_guides
report_ocvm
report_lib
No equivalent command No equivalent command
No net pattern supportin IC CompilerII No net pattern support in IC Compiler II
No equivalent command To be implemented - No target yet To be implemented - No target yet Similar but not exactly same
No net pattern supportin IC CompilerII Not implemented yet
No equivale nt command No equivalent command Closest match No equivalent command No equivalent command No equivalent command
Not required Closest match is report_pvt, but opconds not really used in IC Compiler II
Not implemented yet Infastructure difference due to options such as -mode or -corner. 'arc_details' in IC Compilercan be replacedby 'get_timing_arc' in IC Compiler II
Not required
n/a report_path_groups
No equivalent command Not applicable Similar but not exactly same Infastructure difference due to options such as -mode or -corner, IC Compiler II command missing -expandoption
get_app_option_value
No equivale nt command Not supported No equivale nt command Not supported Closest match get_app_option_value -name signoff.create_metal_fill. apply_nondefault_rules No equivale nt command timer command Similar but not exactly same -pins and -nets: no equivalent in IC Compiler II; use the pin_guide collection instead
report_pin_guides
report_pin_name_synonym report_pin_pad_physical_constraints
report_block_pin_constrain ts
report_pin_shape report_place_opt_strategy
report_app_options
report_pnet_options report_port
report_ports
No equivale nt command Not supported Simil ar but not exactly same -cell is mapped to -cell s -pin_only is not supported -pad_only is not supported -chiplevel_pad_only is not supported object_list is not supported No equivale nt command Not supported Similar but not exactly same No equivalent command Similar but not exactly same -physical and -only_physical are not supported in IC Compiler II -significant_digits: no equivalent in IC Compiler II yet on report_ports
IC Compiler
IC Compiler II
Category
report_power
report_power
report_power_calc ulation
report_power_calcula tion
report_power_domain
report_power_domain s
Similar but not exactly same There are command UI dif ferences between IC Compiler and IC Compile r II PrimeTime PX consistency Simil ar but not exactly same There are command UI differencesbetween IC Compilerand IC Compiler II PrimeTime PX consistency Similar but notexactly same -scope, -operatin g_conditio n, and-hierarchy: no equivale nt in IC Compiler II
report_power_guide report_power_pin_info report_power_plan_regions
report_cell s - po we r report_pg_regions
No equivalent command C lo ses t m at ch T he r ep or t f or ma t a nd s om ec on te nt v ar ie s Similar but notexactly same None of theoptions aresupported; usethe improvedfeature instead
report_power_pla n_strategy
report_pg_strategie s
Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
report_power_ring_strategy report_power_switch report_preferred_routin g_directio n report_preroute_advanced_via _rule
report_pg_strategie s report_power_domain s get_attribute report_pg_via_master_rules
Closest match Noneof the optio ns are supported; use the improved feature instead Closest match report_power_domain s includes power switch information Closest match Use the routing_dir ection attribute Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
report_preroute_drc_strategy report_preroute_focal_opt_strategy
report_app_option s
report_primetime_options r ep or t_ ps t
r epo rt _p st
r ep or t_ qo r
r epo rt _q or
report_qor_snapshot report_qtm_model report_rail_integrity _layout_check _strategy report_rail_optio ns report_read_stream_options report_reference
write_blackbox_timing_script
get_app_options read_gds and read_oasis report_references
report_reference_cell_routing_rule
Comments
No equivale nt command Not required Similar but not exactly same No equivale nt command Not applicable S im il ar b ut n ot e xa ct ly s am e I C C om pi le rI I i s m is si ng - sc op eo pt io n( no t s up po rt ed) -verbose is not supported S im il ar b ut n ot e xa ct ly s am e I C C om pi le rI I c om ma nd m is si ng o pt io n- ph ys ic al No equivale nt command
Not applicable
Closest match No equivalent command
None of the options are supported; use the improvedfeature instead
No equivale nt command Closest match
Not required See the read_gds application note (SolvNet article 2207670 ) Note: read_gds and read_oasis are available only in icc2_lm_shell Similar but not exactly same -hierarchy is mapped to -hier No equivalent command
Feature not yet supported
report_retention_cell
report_power_domain s
Closest match
report_route_opt_strategy
set_app_optionsroute_opt.*
Simil ar but not exactly same
report_route_opt_zrt_crosstalk _options
report_app_options
Similar but notexactly same report_app_options route_opt.flow.xtalk _reduction
report_route_options report_route_rdl_options report_route_zrt_common_options
n/a
No equivalent command Not applicable No equivale nt command Not required Similar but notexactly same report_app_options route.common.*
report_app_options
report_power_domain s includes retention strategies and related information -domain is mapped to the power_domains argument -verbose, -strategy : No equivalent, but everything should be reported
report_route_zrt_detail_ options
report_app_options
Similar but notexactly same report_app_options route.detail .*
report_route_zrt_global_options
report_app_options
Similar but notexactly same report_app_options route.glo bal.*
report_route_zrt_track_options
report_app_options
Similar but notexactly same report_app_options route.track.*
report_routin g_corridors
report_routing_corridors
Simil ar but not exactly same refer to man report_routin g_corridors
report_routing_corridors
report_routing_corridors
report_routin g_rules report_rp_group_options
report_routin g_rules report_attributes
Similar but not exactly same -all: default behaviorin IC CompilerII -corridors is mapped to positional option routing_corridor_list -check_connectivity is not supported 1-1 Mapping Options match IC Compiler 1-1 Similar but not exactly same Use option –application
report_saif report_scaling_lib_group report_scan_cha in report_scenario _options report_scenario s report_separate_process_options report_si_options
report_switching_activit y report_lib report_scan_cha ins report_scenarios report_scenarios
report_sig nal_em
report_signal_em
report_signal_em_calculation r epor t_s iz e_only
r epo rt_s iz e_only
Closest match Closest match 1-1 Mapping Closest match Closest match No equivalent command To be implemented - No target yet Closest match
PrimeTime PX consistency; superset of report_saif
Not applicable Not implemented yet
In IC Compil er II, -repair _file and-error_view are not supported yet. In IC Compiler II, -nets is required to specify the nets to report, but IC Compiler does not require -nets to specify the nets. No equivalent command Similar function as report_signal_em S imi lar but no tex ac tly s am e
report_skew_group
report_clo ck_skew_groups
Similar but notexactly same Does not support-clo ck
report_spacing_rule s report_split_clock_gates_options
report_placement_spacing_rule s
1-1 Mapping No equivalent command
report_starrcxt_optio ns report_supply_net
report_supply_nets
Command name changed Not required
No equivale nt command Not applicable Similar but not exactly same IC Compiler II is missing -include_exception switch (included by default)
report_supply_port
report_supply_ports
Similar but not exactly same IC CompilerII is missingSupply state names in the report
report_target_lib rary_subset
report_target_li brary_subset
report_threshold_vol tage_group
report_threshold_volt age_groups
Simil ar but not exactly same New in K-2015.06-SP1 -object_list is mapped to -objects Similar but not exactly same
report_tie_nets report_tile_power report_timing
report_timin g
No equivale nt command Not supported No equivalent command Simil ar but not exactly same IC Compiler II command missing optio n -exclude,-rise_exclude,-fall_exclu de,,-sla ck_greater_than,-lesser_path,-greater_path,-loops
report_tim ing_derate
report_tim ing_derate
Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
report_tim ing_requir ements
report_exceptio ns
To be implemented - No target yet Similar but notexactly same IC Compiler II command matches with Prim eTime
report_tlu_plus_files
report_lib -parasitic_tech; report_parasitic_parameters report_hierarchy_options
report_timing_histogra m
report_top_imple mentation_optio ns
report_total_po wer_strategy report_track report_transitive_fanin report_transitive_fanout report_unit_tiles report_units report_user_budgeted_delays report_via_master
get_app_option_value -name opt.power.mode report_tracks report_transitive_fanin report_transitive_fanout report_user_units report_via_defs
Similar but not exactly same No equivale nt command
Not needed in IC Compiler II; linkingworks automatic ally UI for transparent interface optimization will be provided in a future release
Closest match 1-1 Mapping Options match IC Compile r 1-1 1-1 Mapping 1-1 Mapping No equivalent command 1-1 Mapping No equivalent command Not required Similar but not exactly same -all: default in IC Compile r II
IC Compiler
IC Compiler II
Category
report_voltage_area
report_voltage_areas
Similar but not exactly same -all is not supported
report_voltage_area_routing_rule report_vt_fil ler_rule report_write_lib _mode report_write_stream_options report_xtalk_route_options report_zrt_net_properties report_zrt_shield reset_cell_mode reset_clock_tree_optimization_options
report_app_options / write_gds n/a (cla ssic routercommand) report_shields reset_cell_mode
Comments
No equivalent command No equivale nt command No equivale nt command Closest match No equivale nt command No equivale nt command 1-1 Mapping 1-1 Mapping No equivalent command
Will be supported in a future release Not required Not supported See the write_gds application note (SolvNet article 2060523) Not applicable Not applicable Options match IC Compiler 1-1
Thisis not required as the functionality is covered by other commands
Not required
reset_clo ck_tree_options
remove_clock_tree_options
No equivale nt command
reset_clock _tree_references
set_lib_cell_purpose -exclude cts remove_clock_tree_reference_subset
Closest match
Clock cell references are controlled by using the general set_lib_cell_purpose command Clock-specific reference cell settings can be controlled through a different command, set_clock_tree_reference_subset
reset_concurrent_clock_and_data_strategy
No equivalent command
reset_cts_batch_mode
No equivale nt command
Controls are throughapplication options: ccd.ignore_ports_for_boundary_identification ccd.optimize_boundary_timing ccd.skip_path_groups Not required Options match IC Compiler 1-1 Target for future release Not required
reset_design reset_fast_pba_analysis_options reset_fp_clock_plan_options
reset_design
1-1 Mapping No equivalent command No equivale nt command
reset_instance_based_routing_rule
set_routing_rule -clear
Simil ar but not exactly same Net-specific routin g rules from set_routing_rule command are honoredby clock treesynthesis; no separatecommand for this
reset_inter_clock_delay_options
remove_clock_balance_groups
Similar but not exactly same Various options in the IC Compiler command are not required in IC Compiler II
reset_latency_a djustment_options reset_mode reset_path reset_reference_cell_routing_rule
reset_cell_mode reset_paths
reset_split_clock_gates_options
To be implemented - No target yet 1-1 Mapping 1-1 Mapping No equivalent command
Feature not yet supported
No equivalent command
Not required
reset_switching_activity
reset_switching_activity
reset_tim ing_derate
reset_tim ing_derate
Similar but not exactly same There are command UI differences between IC Compilerand IC Compiler II PrimeTime PX consistency Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner. IC Compiler II command optio ns matchwithPrimeTime
reset_upf r es iz e_ ob je ct s
reset_upf r es ha pe_ ob je ct s
1-1 Mapping S im il ar b ut n ot e xa ct ly s am e I mpr ov ed u sa ge
resize_polygon
resize_polygons
Similar but not exactly same Improved usage
r et ur n revert_cell_sizing rotate_objects
No equivale nt command Not supported No equivalent command 1 -1 M ap pi ng O pt io ns m at ch I C C om pi le r 1 -1 1-1 Mapping Similar but not exactly same In IC Compiler II additional options -snap grid, -by rotate is mapped to -angle, -force added
restore_design_settings restore_spg_placement r et ur n revert_cell_sizing rotate_objects
Example: Usage: rotate_objec ts # Rotate objects -anchor anchor_point (Anchor point: Values: ll, ur, center) -pivot point (Pivot point) -snap grid (Grid to snap the object to) -orient orient (Orientation value to be set on the objects: Values: N, W, S, E, FS, FW, FN, FE) -angle angle (Rotation angle in degrees: Values: 90, 180, 270) -force (To ignore locked status of the objects) objects (Objects to rotate) route_area route_auto route_detail route_differential route_eco route_flip_chip
route_fp_proto route_global route_group route_htree route_htree route_mesh_net route_mesh_net route_opt route_rc_reduction route_rdl_differential
n/a n/a n/a n/a route_rdl_flip_chip
n/a n/a
route_clock_straps
No equivalent command No equivalent command No equivalent command No equivale nt command No equivalent command Similar but not exactly same
No equivale nt command No equivalent command No equivalent command No equivalent command
Not applicable Not applicable Not applicable Not required Not applicable -nets has no change -nets_in_file file has no change -45_degree not supported -route_by_input_net_order not supported -routing_layer is mapped to -layers -terminal_names is not supported Not required Not applicable Not applicable Not yet supported
No equivale nt command Not applicable Similar but not exactly same Simplified usage model
r out e_rdl_f lip_c hip route_search_repair route_spreadwires route_track route_widen_wire route_zrt_auto route_zrt_clock_tree
n/a n/a n/a route_auto route_group
No equivale nt command Not applicable 1-1 Mapping No equivale nt command Not applicable Similar but not exactly same -nets collection_of_nets has no change -nets_in_file nets_file has no change -terminal_names collection_of_terminals not supported -groups collection_of_groups not supported -layers collection_of_layers has no change 1 -1 Ma pping No equivale nt command Not applicable No equivalent command Not applicable No equivalent command Not applicable No equivalent command Not applicable 1-1 Mapping Options match IC Compiler 1-1 Similar but not exactly same Equiv alent to route_group -all_ clock_nets
route_zrt_detail route_zrt_eco route_zrt_global route_zrt_group route_zrt_track rp_group_inclusions
route_detail route_eco route_global route_group route_track get_rp_group_objects
1-1 Mapping 1-1 Mapping 1-1 Mapping 1-1 Mapping 1-1 Mapping Similar but not exactly same
rp_group_instantiatio ns rp_group_references
get_rp_group_objects
No equivalent command Similar but not exactly same Use option -cell
run_dis tributed_tasks
run_blo ck_script
Similar but not exactly same Simplif iedusage model
route_opt route_rdl_differential
r out e_rdl_f lip_c hip
Options match IC Compiler 1-1 Options match IC Compiler 1-1 Options match IC Compiler 1-1 Options match IC Compiler 1-1 Options match IC Compiler 1-1 Use -rp_group
IC Compiler
IC Compiler II
run_parall el_ jobs run_signoff saif_map
saif_map
save_desig n_settings s av e_m w_ ce l
s av e_ bl oc k
save_qtm_model save_upf s ca n s eek select_block_scenario
save_upf s ca n s eek set_blo ck_to_top_map
Category
Comments
No equivale nt command Not required No equivale nt command Not applicable Similar but not exactly same There are command UI differences between IC Compiler and IC Compiler II PrimeTime PX consistency No equivale nt command Not supported S im il ar b ut n ot e xa ct ly s am e - ov er wr it e: s im il ar t o - fo rc e i nI C C om pi le rI I -increase_version, -scenarios, and -check_only: not applicable -hierarchy is mapped to -hierarchical No equivale nt command Not required 1-1 Mapping IC Compiler -supplemental maps to IC Compiler II -format supplemental 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 Similar but not exactly same set_block_to_top_map is more powerful (allows mapping of clocks) Option mapping: -scenarios and -block_scenario map to -corner and -mode -block_references maps to -block; note that in IC Compiler II the mapping is specified for the cell instance For example, IC Compiler: select_block_scenario -block_references blk -scenarios top_scen -block_scenario blk_scen
select_mim_master_instance s end_f lo w_st at us
s end_st at us
No equivale nt command Cl os es t ma tc h
s et set_active_scenarios
s et set_scenario_status
1 -1 Ma pping Closest match
IC Compiler II: set_block_to_top_map -block blk_inst -corner {block_corner top_corner} -mode {block_mode top_mode} # blk_inst is the instantiation of blk # block_corner, block_mode correspond to blk_scen # top_corner, top_mode correspond to top_scen Not required - jo b_na me is not suppo rt ed -stage_name is mapped to -status -status is not supported -host is not supported -port is not supported -eof is not supported -verbose is not supported O pt ions ma tc hI CC ompiler 1- 1 The IC Compiler set_activ e_scenarios command specifie s a list of scenarios to be active. Usage: set_active_scenarios # specifies set of active scenarios -all (set all scenarios active) { scenario_name1 scenario_name2 ... } (specify set of active scenarios) The IC Compiler II set_scenario_status command configures a list of scenarios and enables or disables the scenario for setup, hold, DRC, and so on Usage: set_scenario_status # Setup scenario for setup, hold, etc. analysis -setup setup_flag (Control setup analysis) -hold hold_flag (Control hold analysis) -power power_flag (Control power analysis) -max_transition max_transition_f lag (Control max_transition DRC checking) -max_capac itance max_capacit ance_flag (Control max_capacit ance DRC checking) -min_capacita nce min_capacita nce_flag (Control min_capacita nce DRC checking) -active active_flag (Allow specified analysis types to happen) -all (Turn on all analysis types) -none (Turn off all analysis types) scenario_list (List of scenarios)
set_ahfs_options
set_app_option s
Closest match
IC Compiler II has two application options related to high-fanout synthesis: place_opt.initial_drc.global_route_based 0|1 place_opt.initial_drc.global_route_based_multi_threaded trueIfalse For option mapping to the IC Compiler set_ahfs_options command: -default_reference is mapped to set_lib_cell_purpose –include optimization
-enable_port_punching is on-by-default -port_map_file is not supported -constant_nets is not supported -preserve_boundary_phase is on-by-default -no_port_punching is no supported; usethe set_freeze_ports command instead -global_route is mapped to place_opt.initial_dr c.global_route_bas ed with a setting of 1 set_always_on_cell set_always_on_strategy set_annotated_chec k set_annotated_delay
set_annotated_delay
No equivalent command IC CompilerII handles always-on dynamically No equivalent command IC CompilerII handles always-on dynamically To be implemented - No target yet Similar but not exactly same Infastructure difference due to options such as -mode or -corner
set_annotated_transition
set_annotated_transition
Similar but not exactly same Infastructure difference due to options such as -mode or -corner
set_aocvm_c oefficient set_app_var s et _a re a_ re co ve ry _o pt io ns
set_aocvm_c oefficient set_app_var s et _a pp _o pt io n
1-1 Mapping 1-1 Mapping Options match IC Compiler 1-1 S im il ar b ut n ot e xa ct ly s am e
s et_a ttr ibut e
s et_a tt ribut e
S imi lar but no tex ac tly s am e - cla ss is no ts uppo rt ed
set_auto_dis able _drc_nets set_banking_guidance_strategy
identify_multibit
No equivale nt command No equivale nt command
set_budgeted_delay s et _b uf fe r_ op t_ st ra teg y
set_latency_budget_constraints s et _a pp_ op ti on s
Closest match None of the options are supported; use the improvedfeature instead S im il ar b ut n ot e xa ct ly s am e
set_case_analysis set_cell_degradation set_cell_ internal_power set_cell_location set_cell_mode set_cell_ row_type set_cell_ type set_cell_ vt_type
set_check_library_options set_checkpoin t_strategy set_child _terminal
set_case_analysis
set_cell_location set_cell_mode
set_cell_ vt_type
n/a
1-1 Mapping No equivalent command No equivale nt command 1-1 Mapping 1-1 Mapping No equivale nt command No equivale nt command Similar but not exactly same
No equivalent command No equivale nt command No equivale nt command
Not required set_banking_guidance_strategy plu s create_banking_guidance are mapped to identify_multibit Note: In IC Compiler II, use -mv_cell for level-shifter and isolation cells Options: -register_group_file is not needed; merged with -input_map_file -register | -mv_cell : New required options -wns_threshold is replaced by -slack_threshold_file and -slack_threshold -exclude_size_only_flo ps is removed; replaced by the general -exclude_instance option -exclude_start_sto p_scan_flops is no t needed; excluded by default -common_net_pins is not needed -name_prefix is not needed; names are generated by concatenating single bit names -maximum_flop_c ount is automatica lly determined -minimum_flop_count is automatically determined
Options match IC Compiler 1-1 Not supported Not supported Supported optio ns are dif ferent: -library is not supported -lib_cell is mapped to -lib_cells -vt_type is mapped to -vt_type Not applicable Not supported Not required
IC Compiler
IC Compiler II
Category
set_cle_optio ns set_clo ck_cell_spacing
set_clo ck_cell_spacing
No equivale nt command Not applicable Similar but notexactly same Does not support-clo cks
set_clock_gating_check set_clock_gating_registers
set_clock_gatin g_check
set_clock_groups set_clo ck_latency
set_clock_groups set_clo ck_latency
1-1 Mapping Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
set_clock_sense set_clo ck_transitio n
set_clock_sense set_clo ck_transition
1-1 Mapping Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
set_clock_tree_exceptions
set_clock_balance_points set_dont_touch set_size_only set_dont_touch_network
Similar but not exactly same Same usage but simplified through a single command
set_clock_tree_exceptions \ -preserve_hierarchy set_clock_tree_optimization_options
set_freeze_ports –clock
set_clock_tree_options \ -max_fanout set_clock_tree_options \ -max_trans \ -max_cap set_clock_tree_options \ -routing_rule set_clock_tree_options \ -routing_rule set_clock_tree_options \ -target_skew \ -target_early_delay set_clock_tree_references
cts.common.max_fanout
Similar but not exactly same Same usage but simplified
set_max_transition set_max_capacitance
Similar but not exactly same Same usage but simplified
set_clock_routing_rules
Similar but not exactly same Same usage but simpli fied
set_clock_routing_rules
Similar but not exactly same Same usage but simpli fied
set_clock_tree_options \ -target_skew \ -target_latency set_lib_cell_purpose remove_clock_tree_reference_subset
Similar but not exactly same Same usage but simplified
set_clock_uncertainty
set_clock_uncertainty
Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner
set_combinational_type set_command_optio n_value set_concurrent_clock_and_data_strategy
No equivale nt command No equivale nt command ccd.ignore_ports_for_boundary_ident Closest match ification ccd.optimize_boundary_timing ccd.skip_path_groups place.coarse.congestion_driven_ Similar but not exactly same
set_congestion_option -max_util placer_max_cell_density_threshold set_congestion_options
1-1 Mapping No equivale nt command
Comments
Not supported
Similar but not exactly same Same usage but simplified No equivalent command
Not required
Similar but not exactly same Clock cell references are controlled by using the general set_lib_cell_purpose command Clock-specific reference cell settings can be controlled by using a different command, set_clock_tree_reference_subset
Not applicable Not applicable Multiple options consolidated to a single command
Changed to application options
max_util place.coarse.max_density
place.coarse.congestion_driven_ max_util
Similar but not exactly same Only -max_util is supported; other options are not supported
set_connectio n_class set_context_margin
No equivale nt command No equivalent command
Not applicable Original DesignCompiler command in IC Compiler; not supported in IC CompilerII For budgets in IC Compiler II, use set_budget_margins Usage: set_budget_margins # Define timing margins to be used in budgets -corner corner_name (Corner for margin values) -default (Set default for unspecified corners) -setup (Apply only to setup budgets) -hold (Apply only to hold budgets) -prects (Apply only where the block adjust option is set to prects) -target (Apply only where the block adjust option is set to target) -actual (Apply only where the block adjust option is set to actual) -postcts (Apply only where the block adjust option is set to target or actual) -clock budget_clock_spec (Specific clock for latency) -from_cloc k budget_clock_spec (Specific 'from' clock for crp) -to_clock budget_clock_spec (Specific 'to' clock for crp) -launch margin (Apply on budget segment that launch in the block) -capture margin (Apply on budget segment that capture in the block)
set_cost_priorit y
Not available yet - but planned
set_cts_batch_mode
To be implemented - No target yet To be implemented - No target yet No equivale nt command
Not required
set_cts_scenario
No equivale nt command
Not required
set_critica l_range
s et _c ur re nt _c om ma nd _m ode set_data_check
Not available yet - but planned
s et _c ur re nt _c om ma nd_ mo de set_data_check
1 -1 M ap pi ng O pt io ns m at ch I C C om pi le r 1 -1 Similar but not exactly same Infastructure difference due to options such as -mode or -corner
set_default_driv e set_default_driv ing_cell set_default_fanout_load set_default_input_dela y set_default_load set_default_output_dela y set_dela y_calcula tion_options set_delay_estimation_options set_design_attributes
set_design_attributes
No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivalent command No equivale nt command No equivale nt command No equivalent command Similar but notexactly same
set_die_area set_direct_power_rail_tie set_disable_clock _gating_check set_disable_timing
set_disable_clock _gating_check set_disable_timing
No equivale nt command Not supported No equivalent command Not used in IC Compiler II 1-1 Mapping Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner. '-loop_breaker' from IC Compiler II replaces '-restore' from IC Compiler
set_distributed_route set_domain_supply_net s et _d ont _t ou ch set_dont_touch_network
n/a set_domain_supply_net s et _d ont _t ou ch set_dont_touch_network
No equivalent command 1-1 Mapping 1 -1 M ap pi ng 1-1 Mapping
set_dont_touch_placement
set_placement_status
set_dont_use set_dp_int_round s et _d ri ve
set_lib_cell _purpose s et _d ri ve
Closest match set_lib_cell _purpose provides finer control; use -exclude to exclu de the lib_cell from specific engine(s). No equivale nt command Not required S im il ar b ut n ot e xa ct ly s am e I nf as tr uc tur e d if fe re nc e d ue t o o pt io ns s uc h a s - mo de o r - co rn er
set_driving_cell
set_driving_cell
Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
set_droute_options set_em_options
n/a set_app_options-name em.net_*
set_equal set_error_vie w_property
gui_ set_error_browser_option
No equivalent command Not applicable Similar but not exactly same In IC Compiler II, -min and -max are no longer supported In IC Compiler II, -healing_factor is no longer supported. No equivalent command Closest match
Not required Not required Not required Not required Not required Not required Not required (covered by set_extraction_options) IC Compiler II is missin g -models option(not supported)
Not applicable UPF command I C C om pi le ra nd D es ig nC om pi le r us e a s wi tc h w hi le I C C om pi le r I I us es a B oo le an v al ue All Design Compile r and IC Compile r options are mapped 1:1 to IC Compile r II options IC Compiler II supports two additional options, -clock_only and -clear Similar but notexactly same additional option
IC Compiler
IC Compiler II
Category
Comments
set_extraction_options
set_extraction_optio ns
Closest match
The commands are simil ar. Only optio n names are slightly different. For example, -max_cap_scale in IC Compiler is -late_cap_scale in IC Compiler II IC Compiler II usage: set_extraction_options # set extraction options -corners corners -late_cap_sc ale late_cap_scale -early_cap_sc ale early_cap_sc ale -late_res_scale late_res_scale -early_res_sca le early_res_sca le -late_ccap_s cale late_ccap_sca le -early_cca p_scale early_ccap_sc ale -late_vr_horiz ontal_cap_sca le late_vr_horizo ntal_cap_scale -early_vr_hor izontal_cap_sc ale early_vr_horiz ontal_cap_sca le -late_vr_vert ical_cap_sca le late_vr_vertic al_cap_scale -early_vr_v ertical_cap_sc ale early_vr_vert ical_cap_sca le -late_vr_horiz ontal_res_scale late_vr_horizo ntal_res_scale -early_vr_hor izontal_res_sca le early_vr_horiz ontal_res_scale -late_vr_vert ical_res_scale late_vr_vertic al_res_scale -early_vr_v ertical_res_sca le early_vr_vertic al_res_scale -late_vr_via _res_scale late_vr_via_res_sc ale -early_vr_v ia_res_scale early_vr_via_r es_scale -late_ccap_t hreshold late_ccap_thresho ld -early_cca p_threshold early_ccap_thr eshold -late_ccap_r atio late_ccap_ra tio -early_ccap_ratio early_ccap_ratio -reference_directio n vertical | horizontal | use_from_tluplus -real_metalfill_ext raction none | floating | ground | auto -virtual_shield_extra ction true | false
set_false_path set_fanout_load set_fast_pba_ana lysis_options
set_false_path set_fanout_load
s et_f ix_ho ld_o ptio ns
s et _a pp_o pti ons
1-1 Mapping 1-1 Mapping To be implemented - No target yet To be implemented - No Not available and not planned target yet S imi lar but no tex ac tly s am e
set_fix _multip le_port_nets
set_app_options
Closest match
set_fix_hold
set_flip_chip_bump_attributes set_flip_chip _cell_site set_flip_chip _driver_array set_flip_chip _driver_is land set_flip_chip _driver_rin g set_flip_chip _driver_strip set_flip_chip _grid set_flip_chip _options set_fp_base_gate set_fp_black_boxes_estimated set_fp_black_boxes_unestimated set_fp_block_ring_constraints set_fp_clock _plan_options
create_blackbox set_pg_strategy Clock Trunk Planning ->Clock Trun Planning; set_clock_trunk_endpoints
No equivalent command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command Closest match No equivalent command Closest match Closest match
IC Compiler II hasthefoll owing applicationoption: opt.port.eliminate_verilog_assign true|false Not required Not required Not required Not required Not required Not required Not required Not required Not required None of theoptions aresupported; usethe improvedfeature instead Not required None of theoptions aresupported; usethe improvedfeature instead None of the options are supported; use the improved feature instead
set_fp_flow_strategy set_fp_macro_array set_fp_macro_options
create_macro_array set_macro_constrain ts
No equivale nt command Not required Closest match None of theoptions aresupported; usethe improvedfeature instead Similar but notexactly same Simplifie d usage model
set_fp_pin_constraints
set_block_pin_constraints
Similar but notexactly same Simplifie d usage model
set_fp_placement_strategy
set_macro_constrain ts
Similar but notexactly same Simplifie d usage model
set_fp_power_pad_constrain ts
set_power_io _constraints
Simil ar but not exactly same Simplifie d usage model
set_fp_rail_ constraints
set_pg_strategy
Similar but notexactly same Strategy-based in IC Compiler II versus template-based in IC Compil er
set_pg_strategy
No equivalent command Not required Similar but notexactly same Strategy-based in IC Compiler II versus template-based in IC Compil er
set_fp_rail_extraction_options set_fp_rail_ region_constraints set_fp_rail_ strategy
set_pg_strategy
Similar but notexactly same Strategy-based in IC Compiler II versus template-based in IC Compil er
set_fp_rail_voltage_area_constraints set_fp_relative_location
set_pg_strategy; split_constraints set_macro_relative_location remove_macro_relative_location set_shaping_options
Closest match None of the options are supported; use the improvedfeature instead Similar but not exactly same None of the options are supported; use the improved feature instead
set_fp_shaping_strategy
set_fp_strategy set_fp_trace_mode set_fp_voltage_area_constraints set_groute_options set_hierarchy_color set_host_options
set_ideal_latency set_ideal_net set_ideal_network
split_constraints n/a View->Assistants->Hierarchy Exploration set_host_options
set_ideal_latency set_ideal_network
No equivale nt command No equivale nt command Closest match No equivalent command Similar but not exactly same
Not required Not required None of theoptions aresupported; usethe improvedfeature instead Not applicable None of the options are supported; use the improved feature instead
Similar but not exactly same -submit_options is mergedwit h -submit _command -32bit is not supported -pool is not supported 1-1 Mapping No equivale nt command Not required Similar but not exactly same -dont_care_placement is not supported
s et _i de al _t ra ns it io n set_ignore_cell_ timing set_ignored_layers
set_ignored_layers
1 -1 M ap pi ng No equivale nt command 1-1 Mapping
set_ignored_layers set_input_delay
set_ignored_layers set_input_delay
Closest match Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
set_input_transitio n
s et _i de al _t ra ns it io n
Similar but notexactly same -default is mapped to -reset -avoid_power_grid sbool not supported -distance_to_power_gri d double not supported -keep_top_level_together sbool has no change -min_channel_size double has no change -utilization_sla ck double not supported -add_channel_blocka ges string has no change -adjust_macr o_locations sbool not supported -preserve_abutment sbool not supported -max_shape_complexit y integer not supported -use_detailed_channel_size_estim ation sbool not supported -cell_area_driven string not supported
set_input_transitio n
Not planned Options match IC Compiler 1-1 IC Compiler II has one new option: -verbose
Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner, IC Compiler II command optio ns align withPrimeTime
set_instance_based_routing_rule
set_routing_rule
Simil ar but not exactly same Net-specific routing rules from set_routing_rule command are honoredby clock treesynthesis; no separatecommand for this
set_inter_clo ck_delay_options
create_clo ck_balance_group
set_inter_clo ck_delay_options
create_clo ck_balance_group
Simil ar but not exactly same Mult iple optio ns are consolidatedintoa single optio n, -offset_la tencies -target_delay_clock and -target_delay_value functionalities are supported through set_clock_tree_options -honor_sdc is supported through cts.balance_groups.honor_source_latency application option Simil ar but not exactly same Mult iple optio ns are consolidatedintoa single optio n, -offset_la tencies -target_delay_clock and -target_delay_value functionalities are supported through set_clock_tree_options -honor_sdc is supported through app_option cts.balance_groups.honor_source_latency
IC Compiler
IC Compiler II
Category
set_inter_io_ring_spacing
set_pg_strategy
Similar but notexactly same None of theoptions aresupported; usethe improvedfeature instead
set_internal_object_color
set_attribute $via cut_mask_constraint $color set_isola te_ports
set_isola te_ports
Comments
Similar but not exactly same Simil ar but not exactly same The same command in IC Compiler II adds true(default )|false optio n, whic h means that you can remove the isola tionrequirement by specifyin g false on a port if it has been set previously. If true|false is not specified, the command matches IC Compiler 1-1. Example: set_isolate_ports qout #Specify that isolation is needed for qout set_isolate_ports qout false #Specify that isolation is not needed for qout
set_isolation
set_isolation
1-1 Mapping
set_isola tion_cell
map_isola tion_cell
Closest match
set_isolation_control set_keepout_margin set_latch_loop_breakers set_latency_adjustment_options
set_isolation_control create_keepout_margin set_latch_loop_breaker set_latency_adjustment_options
1-1 Mapping Closest match 1-1 Mapping Similar but not exactly same Some options are different
set_leakage_optimization set_left_right_filler_rule
create_left_right_filler_cells
set_level_shifter set_level_shifter_cell
set_level_shifter map_level_shifter_cell
set_level_shifter_strategy set_level_shifter_threshold
set_level_shifter set_level_shifter -threshold
No equivalent command Not required Similar but not exactly same Use create_left_right_filler_cells command to set and insert left/right filler cells. Supported options are different The -left, -right, and -lib_cell options are combined to -lib_cell {{{center_cell_list1} {left_list1} {right_list1}} 1-1 Mapping UPF command Closest match Usethe map_level_shifter_cell UPF command Convert options to UPF strategy with set_level_shifter 1-1 Mapping UPF command Similar but not exactly same IC Compiler-voltage and -percent options map to set_level_shifter -threshold, but usage is different
set_lib_attribute set_lib_cell _spacing_la bel
set_attribute set_placement_spacin g_label
1-1 Mapping IC Compiler options mapped to IC Compiler II 1-1 Simil ar but not exactly same Different optio n names
set_load
No equivalent command Not required No equivale nt command Not supported Similar but notexactly same Infastructure differencedue to options such as -mode or -corner, IC Compiler II command options alignwith Prim eTime
set_libcell_subset set_link_lib rary_subset set_load set_local_ lin k_li brary set_logic_dc set_logic_one set_logic_zero set_macro_cell _bound_spot set_matching_type set_max_area set_max_capacitance
set_macro_constraints
set_max_capacitance
No equivale nt command Not supported No equivalent command No equivalent command No equivalent command Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead No equivalent command No equivale nt command Not required Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner, addit ional '-db' optio n in IC Compiler II
set_max_delay set_max_dynamic_power set_max_fanout set_max_leakage_power s et_m ax_net _length
s et _a pp_o pti ons
Closest match Missing ' -group_path' in IC Compil er II. IC Compiler II matches with Prim eTime. No plan to match with IC Compiler. No equivale nt command Not required 1-1 Mapping No equivale nt command Not required S imi lar but no tex ac tly s am e
set_max_time_borrow
set_max_time_borrow
Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner
set_max_transitio n
set_max_transitio n
Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner, addit ional '-db' optio n in IC Compiler II
set_mcmm_job_optio ns set_message_info set_min_capacitance
set_message_info set_min_capacitance
No equivale nt command Not required 1-1 Mapping Options match IC Compiler 1-1 Simil ar but not exactly same Infastructuredifference due to optio ns such as -mode or -corner, addit ional '-db' optio n in IC Compiler II
set_min_delay set_min_library set_min_pulse_width set_minimum_budgeted_delay set_mode set_mpc_macro_array set_mpc_macro_options set_mpc_optio ns set_mpc_pnet_options set_mpc_port_options set_mpc_rectilin ear_outline set_mpc_ring_options set_mtcmos_pna_strategy set_multi_vth_constraint set_multi_vth_constraint -reset s et_m ultic yc le_pa th set_multisource_options set_mw_li b_reference
set_mw_technology_f ile
set_name
set_max_delay
UPF command -force_isolation option is not supported Use map_isolation_cell UPF command Convert -data_pin and -enable_pin options to UPF strategy with set_isolation UPF command Command namechanged and added -inner and -layers optio ns
set_max_fanout
set_min_delay
set_latency_budget_constraints; compute_budget_constraints set_cell_mode
set_max_lvth_percentage
1-1 Mapping No equivale nt command Not required No equivalent command Target for future release Similar but not exactly same None of the options are supported; use the improved feature instead 1-1 Mapping No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command No equivale nt command Simil ar but not exactly same
Not required Not required Not required Not required Not required Not required Not required Not required In IC Compiler II can set percentage only
remove_max_lv th_percentages s et_m ult ic yc le_pa th 1 -1 Ma pping create_multisource_clock_sink_group Similar but not exactly same Simplified usage model Commands available for incremental changes and debug (*multisource_clock_sink_group*) set_ref_lib s Similar but not exactly same -reference_control_file is not supported in IC Compile r II -mw_reference_library: similar as -ref_libs in IC Compiler II libName: must have in IC Compiler, but optional in IC Compiler II with -library option read_tech_file Closest match -technology: not required; you can specify the technology file directly -alf is not supported by read_tech_file libName is not needed; read_tech_file applies to the current library set_attribute Similar but not exactly same You can change the name attribute dir ectly in IC Compile r II
set_net_aggressors set_net_routing_corridor
add_to_routing_corridor
To be implemented - No Not implemented yet target yet Similar but not exac tly same See man page
set_net_routin g_corrid or
add_to_routing_corridor
Similar but notexactly same
set_net_routin g_layer_constraints
set_routing_rule
set_net_routing_rule
set_routing_rule set_attribute net physical_status
Similar but notexactly same -min _layer_name is mapped to -min _routing_layer -max_layer_name is mapped to -max_routing_layer Similar but not exactly same -rule is mapped to either -rule or -default_rule -reroute is mapped to set_attribute net physical_status locked|minor_change|unrestricted -timing_driven_spacing is not supported -top_layer_probe has no equivalence No equivale nt command Use set_routin g_rule on nets instead
set_net_search_pattern_delay_estimation_op tions set_net_search_pattern_priority set_noise_immunity_cur ve set_noise_lib_pin set_noise_margin
No equivalent command To be implemented - No target yet To be implemented - No target yet To be implemented - No target yet C lo ses t m at ch
Not required Not implemented yet Not implemented yet Not implemented yet
s et _o bj ec t_ bo un da ry
s et _b oun da ry
s et _o bj ec t_ fi xe d_ edi t
s et _f ix ed _o bj ec ts
- bb ox a nd - bo un da ry a re m ap pe dt o - bo und ar y -keep_* and -ignore_fixed are not supported S im il ar b ut n ot e xa ct ly s am e I mpr ov ed u sa ge
s et _o bj ec t_ sh ap e
s et _o bj ec t_ sh ap e
S im il ar b ut n ot e xa ct ly s am e I mpr ov ed u sa ge
IC Compiler
IC Compiler II
Category
set_object_snap_type
set_snap_settin g
set_opcond_inference set_operatin g_conditions set_opposite
set_operatin g_conditions
Comments
s et _o pt im iz at io n_ st ra te gy
s et _a pp_ op ti on s
Similar but not exactly same set_object_snap_type , command not supported, set_snap_settings, supported No equivale nt command Not required 1-1 Mapping To be implemented - No Not requested yet target yet S im il ar b ut n ot e xa ct ly s am e
s et _o pt im iz e_ df t_ opt io ns
s et _a pp_ op ti on s
S im il ar b ut n ot e xa ct ly s am e
set_optimize_pre_cts_power_options set_output_clock_port_type set_output_delay
No equivalent command
Not required
set_output_delay
No equivalent command Not required Similar but notexactly same Infastructure differencedue to options such as -mode or -corner
set_pad_physical_ constrain ts
set_signal_io_constraints
Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
set_parameter s et_pa rt ia l_o n_t ra nsl at io n set_partition_data set_path_margin
n/a s et_pa rt ia l_on_t ra nsla tio n set_path_margin
No equivalent command 1 -1 Ma pping No equivale nt command Similar but not exactly same
Not applicable UP F c om ma nd Not required Infastructure difference due to options such as -mode or -corner
set_app_options
No equivalent command Closest match
Must edit in IC Compiler II Library Manager set_app_options -name signoff.create_metal_fill .apply_nondefault _rules -value fals e
set_pg_pin_model set_physical_signoff_options set_physopt_cpulimit_o ptions set_pi_model
No equivalent command To be implemented - No target yet
set_pin_model set_pin_name_synonym set_pin_physical_constraints
set_individual_ pin _constraints
Not supported No equivale nt command Not supported Simil ar but not exactly same -pin_name strin g is mapped to -pin s -cell string has no change -layers layer_list has is mapped to -allowed_layers -width float has no change -depth float has is mapped to length -side int has no change -offset float has no change -order int not supported -off_edge center, location or auto has no change -location coordinate has no change -pin_spacing int has no change -exclude_sides string not supported -nets object_list has no change object_list not supported, use -ports, pins, nets, cells options
set_place_opt_ct s_strategy s et _p la ce _o pt _s tr at eg y
s et _a pp _o pt io ns
No equivalent command S im il ar b ut n ot e xa ct ly s am e
set_pnet_options set_port_attributes
set_port_attributes
No equivale nt command 1-1 Mapping
set_port_fanout_number set_port_location set_power_guide
create_voltage_area
No equivalent command No equivale nt command Closest match
set_power_net_to_voltage_area set_power_pla n_strategy
set_pg_strategy
set_power_ring_strategy set_power_switch_cell
set_pg_strategy map_power_switch
set_power_switch_place_pattern_strategy s et _p re fe r
set_power_switch_placement_patter n s et _l ib _c el l_ pur po se
No equivalent command Available power nets impliedby power domains of that voltage area Similar but not exactly same -core optio n has no change -voltage_areas option has not change -polygon option has no change -macros option has no change -power_plan_regions is mapped to -pg_regions -template not supported -extension option has no change -blockage option has no change Closest match None of theoptions aresupported; usethe improvedfeature instead Closest match Use the map_power_switch UPF command library cell name maps to -lib_cells -is_macro is determined from the reference library and is not needed -switch and -pg_pin are not needed Similar but not exactly same -flip and -connect_power_switch are not supported -connect_power_switch is not needed; the intra-pattern control net connection is always done during power-switch insertion S im il ar b ut n ot e xa ct ly s am e
set_preferred_routing_directio n
set_attrib ute
Similar but notexactly same set_attribute-objects [get_layers layer_names] -name routing_directio n -value horizontal| vertic al
set_preroute_advanced_via _rule
set_pg_via_master_rule
Closest match
set_preroute_drc_strategy s et _p re ro ut e_ fo ca l_ op t_ st ra te gy
s et _a pp_ op ti on s
No equivale nt command Not required S im il ar b ut n ot e xa ct ly s am e
Power net check is default UPF command -repeater_supply not supported yet (IC Compiler II) Not supported No direct mapping for thiscommand, but you can change the exclu sive move bounds that it refers to intoa voltage area (gas station)
-move_via_to_center not supported -offset_both_sides not supported -x_offset_recommended not supported -y_offset_recommended not supported -x_offset distance is mapped to -offset -y_offset distance is mapped to -offset -x_step distance not supported -y_step distance not supported -cut_layer not supported -contact_codes is mapped to -contact_code -cut_spacings is mapped to -cut_spacing -size_by_via_area not supported -size_by_array_dimensions is mapped to -via_array_dimension -rotation_mode is mapped to -orient -align_stack not supported
set_preroute_specia l_rules set_preserve_clock_gate set_primetime_options s et _p ro pa ga te d_ cl oc k set_puls e_clock_cell set_qtm_global_parameter
create_blackbox_constrain t
No equivale nt command No equivalent command No equivale nt command 1 -1 M ap pi ng No equivale nt command Simil ar but not exactly same
set_qtm_port_drive
create_blackbox_drive_type
Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
set_qtm_port_load
create_blackbox_load_type
Simil ar but not exactly same Noneof the optio ns are supported; use the improved feature instead
set_qtm_technology set_rail_integrity_la yout_check_st rategy set_rail_ options set_read_stream_options
s et _p ro pa ga te d_ cl oc k
set_app_options read_gds and read_oasis
set_reference_cell_routing_rule set_related_supply_net set_resis tance
No equivale nt command No equivalent command No equivale nt command Closest match No equivalent command
set_related_supply _net
Not required Not required Not applicable Not required Noneof the optio ns are supported; use the improved feature instead
Not required Not required See the read_gds application note (SolvNet article 2207670 ) Note: read_gds and read_oasis are available only in icc2_lm_shell Feature not yet supported
Similar but notexactly same
-reset is not supported; use reset_upf
No equivale nt command
Not supported in IC Compiler II
IC Compiler
IC Compiler II
Category
Comments
set_retentio n_cell
map_retentio n_cell
Closest match
set_retention_control
set_retention_control
1-1 Mapping
set_route_flip_chip _options set_route_mode_options set_route_opt_strategy
n/a set_app_optionsroute_opt.*
No equivale nt command No equivalent command Simil ar but not exactly same
Duplicate of map_retention_cell UPF command -cell_type maps to -lib_cell_type -retention_pin is not needed, comes from UPF strategy library cell argument is mapped to -lib_cells UPF command -assert_r_mutex option not supported (IC Compiler II) -assert_s_mutex option not supported (IC Compiler II) -assert_rs_mutex option not supported (IC Compiler II) Not required Not applicable
set_route_opt_zrt_crosstalk_options
set_app_optionsroute_opt.*
Simil ar but not exactly same
set_route_option -enable_user_enter_sub_route_type set_route_rdl_optio ns set_route_type set_route_zrt_common_options set_route_zrt_detail_ options set_route_zrt_global_options set_route_zrt_track_optio ns set_row_type set_rp_group_options
(no need; covered by define_user_attribute)
No equivale nt command
Not applicable
No equivale nt command No equivalent command Closest match Closest match Closest match Closest match No equivale nt command Closest match
Not required Not applicable set_app_options -name route.common.all ow_pg_as_shie ld -value fals e set_app_options -name route.detail .antenna -value fals e set_app_options -name route.detail .antenna -value fals e set_app_options -name route.track.crosstalk_driven -value fals e Not supported Same command but different options:
n/a set_app_options set_app_options set_app_options set_app_options set_rp_group_options
-alignment options are mapped to –alignment left | right | pin
-pin_align_name is mapped to -pin_name -cts_option is mapped to -optimization_restriction -route_opt_option is mapped to -optimization_restriction -psynopt_option is mapped to -optimization_restriction -allow_keepout_over_tapcell is mapped to option during add_to_rp_group using “allow_overlap”
-allow_non_rp_cells is mapped to the place.rp.allow_non_rp_cells application option -group_orient is mapped to -group_orientation (values are different too) -auto_blockage is on-by-default -cell_orient_opt, -disable_buffering, -anchor_column, -anchor_row, -max_rp_width, and -max_rp_height are not supported -ignore and -ignore_rows are dropped set_scalin g_lib_group s et _s ce na ri o_ op ti on s set_scope set_separate_process_options set_si_options
s et _s ce na ri o_ st at us set_scope
No equivale nt command Not required S im il ar b ut n ot e xa ct ly s am e 1-1 Mapping UPF command No equivale nt command Not applicable Similar but not exactly same Not all of the options are available in IC Compiler II yet
set_size_only set_skew_group
set_app_options -name time.si_enable_analysis set_siz e_only create_clock_skew_group
1-1 Mapping IC Compiler and IC Compiler II have the same UI for this command Similar but not exactly same Does not support-target_skew and -target_early_delay options
set_spacing_label_rule
set_placement_spacin g_rule
Simil ar but not exactly same different optio n name
set_spli t_clock_gates_options
No equivale nt command
set_starrcxt_optio ns set_steady_state_resistance set_stream_la yer_map_file
set_layer_map_file
No equivale nt command No equivale nt command Closest match
set_switching_act ivity
set_switching_activ ity
set_synlib_dont_get_license set_target_library_subset set_tim ing_derate set_timin g_ranges set_tlu_plus_files
set_tim ing_derate
read_parasitic_tech; set_parasitic_parameters
Not required
Not applicable Not applicable New in K-2015.06 -lib_name is mapped to -library -remove is mapped to the remove_layer_map_file command -format: in, out are not supported, use gds instead Closest match There are command UI differences between IC Compiler and IC Compiler II PrimeTime PX consistency No equivalent command Not applicable Similar but not exactly same -object_list is mappedto -objects -milkyway_reflibs: not applicable in IC Compiler II Similar but notexactly same Infastructure differencedue to options such as -mode or -corner No equivale nt command Closest match
Not required In IC Compiler, the TLUPlus files are loaded based on set_tlu_plus_files when the floorplan is created. In IC Compiler II, the TLUPlus files need to be explicitly loaded using read_parasitic_tech. Usage: read_parasitic_tech # read tlu plus files -tlup tlup_files -layermap layer_map_file -name name
set_top_implementatio n_options
set_hierarchy_options
No equivale nt command
set_total_power_st rategy
set_app_options -name opt.power.mode -value total
Closest match
set_true_delay_case_analysis s et _u nd oa bl e_ at tr ib ut e set_ungroup set_unix_variable set_user_grid set_utilization set_via_array_size set_voltage set_voltage_model set_vt_filler_rule set_write_stream_options set_xtalk _route_options set_zero_interco nnect_delay_mode
set_zrt_net_propertie s s et en v sh sh_list_key_bin din gs s ha pe _f p_ bl oc ks
shell_is_in_upf_mode
s et _a tt ri but e ungroup_cells setenv set_grid set_via_def set_voltage
set_app_options write_gds and write_oasis set_app_options -name time.delay_calculation_style -value zero_interconnect s et en v sh s ha pe _b lo ck s
set_parasitic_parameter s # Set parasitic parameter for extraction -corners corner -early_spec early_spec -early_tempera ture early_temperature -late_spec late_spec -late_temperatur e late_temperature -library library_name
Not needed in IC Compiler II; linkin g works automaticall y UI for transparent interface optimization will be added in a future release
No equivalent command Not needed in IC Compiler II S im il ar b ut n ot e xa ct ly s am e - cl as s i sn ot s upp or te d Closest match 1-1 Mapping Closest match No equivalent command Similar but not exactly same
None of the options are supported; use the improved feature instead Options match IC Compiler 1-1
No equivale nt command To be implemented Closest match
Not applicable
No equivale nt command Closest match
Not applicable
No equivale nt command 1 -1 M ap pi ng 1 -1 Ma pping No equivale nt command S im il ar b ut n ot e xa ct ly s am e
Not applicable O pt io ns m at ch I C C om pi le r 1 -1 O pt ions ma tc hI CC ompiler 1- 1 Not applicable - re ct il in ea r n ot s up po rt ed -incremental string has no change -channels has no change -refine_placement not supported -constraint_fi le string has no change -top_down not supported -sliver_threshold double not supported -place_submacro s not supported
Not required -array_size is mappedto -size positional argument via_collection is mapped to -vias Similar but notexactly same Infastructure differencedue to options such as -mode or -corner. IC Compiler II command options alig n with Prim eTime
No equivalent command
See the write_gds application note in SolvNet for detailed usage
IC Compiler
IC Compiler II
Category
Comments
signoff_autofix_drc signoff_calculate_hier_antenna_property
signoff_fix_drc signoff_calculate_hier_antenna_prope rty signoff_check_drc s ignof f_c rea te_m eta l_f ill
1-1 Mapping 1-1 Mapping
Options match IC Compiler 1-1 Options match IC Compiler 1-1
1-1 Mapping 1 -1 Ma pping No equivale nt command Closest match 1-1 Mapping No equivale nt command
Options match IC Compiler 1-1 O pt ions ma tc hI CC ompiler 1- 1 Not applicable -freeze_silicon is not supported Options match IC Compiler 1-1 Not required
signoff_drc s ignof f_met al_fill signoff_opt size_cell sizeof_collection skew_opt slot_wir e s na p_ ob jec ts
size_cell sizeof_collection
s na p_ obj ec ts
No equivale nt command Not required S im il ar b ut n ot e xa ct ly s am e - sn ap _p in_ to _e dg ei s n ot s up po rt ed
s oc ket sort_collection sort_fp_pins source s plit split _clo ck_gates
s oc ke t sort_collection
split_clock_net
synthesize_multisource_clock_subtree Closest match s
split_multisource_clock
synthesize_multisource_clock_taps
split _mw_lib split _net s plit_o bj ec ts
s pli t_o bj ec ts
source s pl it
split_rdl_route split_register_bank split _zrt_net spread_spare_cells
split_rdl_routes split_multibit
spread_zrt_wires start_gui stop_gui s tr etc h_wir e
spread_wires gui_start gui_stop E dit ->S tret ch
s tr in g sub_instances_of s ubs t suppress_message swap_cell _lo catio ns s wi tc h synthesize_fp_rail synthesize_fp_rings t ell t im e t ra ce transform_coordinates trim_fill _eco unalias uncommit_fp_soft_macros
s tr in g
uncompress_scenarios undefine_bus undo undo_config
spread_spare_cells
s ubs t suppress_message s wi tc h
t ell t ime t ra ce
unalias uncommit_block
undo
1 -1 M ap pi ng 1-1 Mapping No equivale nt command 1-1 Mapping 1 -1 Ma pping No equivale nt command
O pt io ns m at ch I C C om pi le r 1 -1 Options match IC Compiler 1-1 Not required Options match IC Compiler 1-1 O pt ions ma tc hI CC ompiler 1- 1 Not yet supported
Simplified usage model *multisource_clock_subtree* commands control structural multisource clock tree synthesis *multisource*name* application options control the naming conventions Command does merging, splitting, and optimization of the postmesh structure to meet QoR targets; optimize_clock_tree -postmesh is no longer required Similar but not exactly same Simplified usage model. *multisource_clock_tap* commands control regular multisource clock tree synthesis *multisource*name* application options control naming conventions Command merges clock gates before splitting -copy_constraints is not required; constraints are copied by default -ocv_aware, -remove_unused_taps, tap synthesis options are not yet supported No equivale nt command Not supported No equivale nt command Not applicable S imi lar but no tex ac tly s am e - x, - y, not suppor ted -line, supported -gap not supported 1-1 Mapping 1-1 Mapping Command name change No equivale nt command Not applicable Closest match -bbox is mapped to -boundary -respect_voltage_areas is mapped to -voltage_areas -polygon is mapped to -boundary 1-1 Mapping Options match IC Compiler 1-1 1-1 Mapping 1-1 Mapping S imi lar but no tex ac tly s am e S im plif ied us age m odel 1 -1 M ap pi ng No equivale nt command 1 -1 Ma pping 1-1 Mapping No equivale nt command 1 -1 M ap pi ng No equivale nt command No equivale nt command 1 -1 Ma pping 1 -1 Ma pping 1 -1 Ma pping No equivale nt command No equivale nt command 1-1 Mapping Similar but notexactly same
O pt io ns m at ch I C C om pi le r 1 -1 Not supported O pt ions ma tc hI CC ompiler 1- 1 Options match IC Compiler 1-1 Not required O pt io ns m at ch I C C om pi le r 1 -1 Not required Not required O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 Not supported Not applicable Options match IC Compiler 1-1 None of theoptions aresupported; usethe improvedfeature instead
No equivale nt command Not required No equivale nt command Not supported Similar but not exactly same -all is mapped to -levels -mark is mapped to -marker Similar but not exactly same -max_depth: shell.undo.max_levels -max_memory: shell.undo.max_memory -enable and -disable: shell.undo.enabled -depth and -memory are not supported
u nd o_m ar k
set_app_options -name shell.undo.enabled -value false set_app_options -name shell.undo.max_levels -value 100 set_app_options -name shell.undo.max_memory -value 1000000000 c re at e_ un do _m ar ke r
S im il ar b ut n ot e xa ct ly s am e
ungroup
ungroup_cells
Similar but notexactly same -prefix , -sim ple _names, -small, -force, -soft, -start_level, and-all _instances arenot supported
uniquify
uniquify
uniquify_fp_mw_cel
uniquify
Similar but not exactly same -force and -dont_skip_empty_designs are not supported -cell is mapped to the cell_list positional argument -base_name and -new_name: no such fine control in IC Compiler II; use the design.uniquify_naming_style application option to specify the naming style of the new reference Similar but not exactly same -block_abstractions, -store_mim_property, and mw_cel_name: not applicable
unlo ad unset unset_hierarchy_color
unset remove_colors
No equivale nt command Not applicable 1 -1 Ma pping O pt ions ma tc hI CC ompiler 1- 1 Similar but notexactly same None of theoptions aresupported; usethe improvedfeature instead
unset_power_guide u ns et en v unsuppress_message u pd at e update_bounds
remove_voltage_areas u ns et env unsuppress_message u pd at e remove_from_bound / add_to_bound
Closest match 1 -1 M ap pi ng 1-1 Mapping 1 -1 M ap pi ng Similar but not exactly same
update_clock_la tency update_flip_chip_pin_locations update_lib update_lib_model update_lib_pg_pin_model update_lib_pin_model update_lib_voltage_model update_physical_ bus update_power_plan_regio n upda te_r out ing_c or rido r
compute_clock_latency
1-1 Mapping No equivalent command No equivale nt command No equivalent command No equivalent command No equivalent command No equivalent command No equivale nt command No equivale nt command Similar but not exactly same
c rea te_ro ut ing_c or rido r_sha pe a nd remove_routing_corridor_shapes
update_routing_corridor
create_routing_corridor_shape remove_routing_corridor_shapes
update_timing update_volt age_area
update_timing set_voltage_area
Removes gas station volt age areas O pt io ns m at ch I C C om pi le r 1 -1 Options match IC Compiler 1-1 O pt io ns m at ch I C C om pi le r 1 -1 -add is mapped to add_to_bound -remove is mapped to remove_from_bound -bound is mapped to bound object. Not required Not applicable
Not supported Not required See man pages
Similar but not exactly same -mode add is mapped to create_routing_corridor_shape -mode remove is mapped to remove_routing_corridor_shapes -mode update: use set_attribute -corridor is mapped to -routing_corridor of create_routing_corridor_shape -bbox and -rectangles are mapped to -boundary 1-1 Mapping Similar but notexactly same Different usage. Refer to multiv olt age document fordetail s.
IC Compiler
IC Compiler II
Category
upf_version u pl ev el upv ar v ar ia bl e verify_lvs verify_pg_nets
upf_version u pl ev el upv ar v ar ia bl e check_lvs verify_pg_nets
1-1 Mapping 1 -1 M ap pi ng 1 -1 Ma pping 1 -1 M ap pi ng 1-1 Mapping Similar but not exactly same
verify_rail_integr ity verify_route verify_zrt_route virtual_ipo
n/a check_routes estimate_tim ing
No equivalent command No equivalent command Not applicable 1-1 Mapping Options match IC Compiler 1-1 Similar but notexactly same None of theoptions aresupported; usethe improvedfeature instead
v wa it whic h while widen_zrt_wires
v wa it whic h while widen_wires
wi n_s el ec t_o bj ec ts win_set_filter w in_ set _s el ec t_ cl as s windo w_st ret ch write write_aif write_app_var write_cell_expansion w ri te_ de f
win_selec t_o bj ec ts win_set_filter w in _s et _s el ec t_ cl as s E dit ->S tret ch
write_design_li b_paths write_design_settin gs write_environment write_flip_chip _nets write_floorplan
write_aif write_app_var w ri te _d ef
write_floorplan
Comments
O pt io ns m at ch I C C om pi le r 1 -1 O pt ions ma tc hI CC ompiler 1- 1 O pt io ns m at ch I C C om pi le r 1 -1 Options match IC Compiler 1-1 -error_cell not supported -std_cell_pin_connection is mapped to -check_std_cell_pins -macro_pin_connection is mapped to -check_macro_pins -pad_pin_connection is mapped to -check_pad_pins -nets option has no change
1 -1 Ma pping 1 -1 Ma pping 1 -1 Ma pping To be implemented - No target yet 1 -1 Ma pping 1-1 Mapping 1 -1 M ap pi ng Cl os es t ma tc h No equivale nt command 1-1 Mapping 1-1 Mapping No equivalent command S im il ar b ut n ot e xa ct ly s am e
O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 O pt ions ma tc hI CC ompiler 1- 1 Options match IC Compiler 1-1
Not applicable Not applicable Not supported -technology:not required. Default behaviorof write_tech_fil e -reference_control_file is not supported -stream_layer_map_file is not supported -output: not required. Specify the file name directly in IC Compiler II
S im plif ied us age m odel Not supported; use individual writ e_* Simplified usage model Options match IC Compiler 1-1 Not required - out pu ti s m ap pe dt o f il en am e d ir ec tl y i nI C C om pi le r I I -unit is mapped to -units -compressed is mapped to -compress method -all_vias is mapped to -include_tech_via_definitions -lef is not supported -no_legalize and -verbose are not supported -via_style_as_generated: default behavior in IC Compiler II Other options are mapped to -include and -exclude options No equivale nt command Not supported No equivale nt command Not supported No equivale nt command Not supported No equivale nt command Not required Similar but not exactly same -create_terminal is mappedto -include and -exclude -create_bound is mapped to -include and -exclude -placement {io std_cell hard_macro soft_macro terminal} is mapped to -include and -exclude -row is mapped to -include and -exclude -track is mapped to -include and -exclude -no_bound is mapped to -include and -exclude -no_voltage_area is mapped to -include and -exclude -no_plan_group not supported -no_placement_block age is mapped to -include and -exclude -no_route_guide is mapped to -include and -exclude -preroute is mapped to -include and -exclude -net_shape is mapped to -include and -exclude -user_shape is mapped to -include and -exclude -include_shapes_on_non_routing_lay ers is mapped to -include and -exclude -all is mapped to -include and -exclude -cell mw_cel_name not supported -no_create_boundary is mapped to -include and -exclude -pin_guide is mapped to -include and -exclude -objects {} has no change -sm_placement {io std_cell hard_macro soft_macro terminal} is mappedto -include and -exclude -sm_placement_bloc kage is mapped to -include and -exclude -sm_route_guide is mapped to -include and -exclude -sm_plan_group ismapped to -include and -exclude -sm_voltage_ar ea is mapped to -include and -exclude -sm_bound is mapped to -include and -exclude -sm_cell_row is mapped to -include and -exclude -sm_track is mapped to -include and -exclude
write_li b write_lib_specification_model write_li nk_library writ e_mw_lib_files
writ e_tech_file
No equivale nt command No equivalent command No equivale nt command Closest match
write_parasitic s
write_parasitic s
Similar but not exactly same
write_physic al_constrain ts write_physic al_scrip t writ e_pin_pad_physic al_constraints
writ e_pin_constraints
write_plib write_power_pla n_regio ns write_rail_integrity_s trategy write_rail_options write_route
write_routes (K-2015. 06-SP1)
write_rp_groups
write_rp_groups
write_saif
write_saif
write_script
write_script
w ri te_ sd c
w ri te _s dc
write_sdf write_stream
write_gds
writ e_verilo g
writ e_verilo g
wr it e_v ia _r egion
r epo rt_v ia _regio ns
No equivale nt command Not supported No equivale nt command Not supported Similar but notexactly same -lib rary library not supported -cell cell not supported -constraint_ty pe side_only | side_order | side_location (default) is mapped to -physical_pin_constraint -pin_only change to -pins -pad_only change to -ports -objects object_list change to -nets, -pins, -ports, -bundles file change to -file_name No equivale nt command Not applicable No equivale nt command Not required No equivalent command No equivalent command write_routes outputs routing, write_floorplan outputs routing blockages Similar but not exactly same User attributes and via definitions are on-by-default in IC Compiler II -skip_route_guide and -output_metal_fill are removed in IC Compiler II Similar but not exactly same Differences in options Similar but not exactly same There are command UI dif ferences between IC Compiler and IC Compile r II PrimeTime PX consistency Similar but not exac tly same Different usage In IC Compiler II, this command writes the design constraints and settings to a target directo ry. Use -include and -exclude to control what data is written out. S im il ar b ut n ot e xa ct ly s am e I nf as tr uc tur e d if fe re nc e d ue t o o pt io ns s uc h a s - mo de o r - co rn er No equivale nt command Not supported in IC Compiler II Similar but not exactly same set_write_stream_options plu s write_stream are mapped to write_gds options in IC Compile r II See the man page for a detailed description of the options Similar but notexactly same -pg, -no_*, -empty_module, -wir e_decla ration -supply_statement etc aremapped to -include and -exclude in IC Compiler II -force_output_references and -force_no_output_references are mapped to -force_reference and -force_no_reference -keep_backslash_before_hiersep: not applicable -diode_port: default behavior in IC Compiler II -no_unconnected_cells, -unconnected_ports, -output_net_name_for_tie, and -verbose are not supported -macro_definition is mapped to -hierarchy all -top_only is mapped to -hierarchy top 1 -1 Ma pping E quiv alent f unc tio nbut dif fer ent o ptio nna mes IC Compiler II report_via_regions is design-based. All via region information is exported by default.